From 26dc3504dbc10be52a5d51d64c3d5df328a05c1c Mon Sep 17 00:00:00 2001 From: epileftric Date: Tue, 7 Mar 2017 01:15:18 -0300 Subject: [PATCH] Initial commit --- ConceptTest.mdl | 19684 +++++++++++++++++++++ FiltroHDL/Filter.vhd | 193 + FiltroHDL/FilterWrapper.vhd | 67 + FiltroHDL/Filter_tb.vhd | 123 + FiltroHDL/FiltroHDL.gise | 31 + FiltroHDL/FiltroHDL.xise | 373 + FiltroHDL/array_functions.vhd | 54 + FiltroHDL/iseconfig/FiltroHDL.projectmgr | 138 + FiltroTestM/FilterWrapper_config.m | 104 + FiltroTestM/TestModel.mdl | 1348 ++ README.md | 1 + 11 files changed, 22116 insertions(+) create mode 100644 ConceptTest.mdl create mode 100644 FiltroHDL/Filter.vhd create mode 100644 FiltroHDL/FilterWrapper.vhd create mode 100644 FiltroHDL/Filter_tb.vhd create mode 100644 FiltroHDL/FiltroHDL.gise create mode 100644 FiltroHDL/FiltroHDL.xise create mode 100644 FiltroHDL/array_functions.vhd create mode 100644 FiltroHDL/iseconfig/FiltroHDL.projectmgr create mode 100644 FiltroTestM/FilterWrapper_config.m create mode 100644 FiltroTestM/TestModel.mdl create mode 100644 README.md diff --git a/ConceptTest.mdl b/ConceptTest.mdl new file mode 100644 index 0000000..91aca16 --- /dev/null +++ b/ConceptTest.mdl @@ -0,0 +1,19684 @@ +Model { + Name "Test" + Version 7.9 + MdlSubVersion 0 + GraphicalInterface { + NumRootInports 0 + NumRootOutports 0 + ParameterArgumentNames "" + ComputedModelVersion "1.120" + NumModelReferences 0 + NumTestPointedSignals 0 + } + SavedCharacterEncoding "US-ASCII" + SaveDefaultBlockParams on + ScopeRefreshTime 0.035000 + OverrideScopeRefreshTime on + DisableAllScopes on + DataTypeOverride "UseLocalSettings" + DataTypeOverrideAppliesTo "AllNumericTypes" + MinMaxOverflowLogging "UseLocalSettings" + MinMaxOverflowArchiveMode "Overwrite" + FPTRunName "Run 1" + MaxMDLFileLineLength 120 + Created "Fri Jun 24 20:25:45 2016" + Creator "epilef" + UpdateHistory "UpdateHistoryNever" + ModifiedByFormat "%" + LastModifiedBy "epilef" + ModifiedDateFormat "%" + LastModifiedDate "Mon Jul 25 14:50:06 2016" + RTWModifiedTimeStamp 391355824 + ModelVersionFormat "1.%" + ConfigurationManager "none" + SampleTimeColors off + SampleTimeAnnotations off + LibraryLinkDisplay "disabled" + WideLines off + ShowLineDimensions off + ShowPortDataTypes off + ShowDesignRanges off + ShowLoopsOnError on + IgnoreBidirectionalLines off + ShowStorageClass off + ShowTestPointIcons on + ShowSignalResolutionIcons on + ShowViewerIcons on + SortedOrder off + ExecutionContextIcon off + ShowLinearizationAnnotations on + BlockNameDataTip off + BlockParametersDataTip off + BlockDescriptionStringDataTip off + ToolBar on + StatusBar on + BrowserShowLibraryLinks off + BrowserLookUnderMasks off + SimulationMode "normal" + LinearizationMsg "none" + Profile off + ParamWorkspaceSource "MATLABWorkspace" + AccelSystemTargetFile "accel.tlc" + AccelTemplateMakefile "accel_default_tmf" + AccelMakeCommand "make_rtw" + TryForcingSFcnDF off + Object { + $PropName "DataLoggingOverride" + $ObjectID 1 + $ClassName "Simulink.SimulationData.ModelLoggingInfo" + model_ "Test" + overrideMode_ [0.0] + Array { + Type "Cell" + Dimension 1 + Cell "Test" + PropName "logAsSpecifiedByModels_" + } + Array { + Type "Cell" + Dimension 1 + Cell [] + PropName "logAsSpecifiedByModelsSSIDs_" + } + } + RecordCoverage off + CovPath "/" + CovSaveName "covdata" + CovMetricSettings "dw" + CovNameIncrementing off + CovHtmlReporting on + CovForceBlockReductionOff on + covSaveCumulativeToWorkspaceVar on + CovSaveSingleToWorkspaceVar on + CovCumulativeVarName "covCumulativeData" + CovCumulativeReport off + CovReportOnPause on + CovModelRefEnable "Off" + CovExternalEMLEnable off + ExtModeBatchMode off + ExtModeEnableFloating on + ExtModeTrigType "manual" + ExtModeTrigMode "normal" + ExtModeTrigPort "1" + ExtModeTrigElement "any" + ExtModeTrigDuration 1000 + ExtModeTrigDurationFloating "auto" + ExtModeTrigHoldOff 0 + ExtModeTrigDelay 0 + ExtModeTrigDirection "rising" + ExtModeTrigLevel 0 + ExtModeArchiveMode "off" + ExtModeAutoIncOneShot off + ExtModeIncDirWhenArm off + ExtModeAddSuffixToVar off + ExtModeWriteAllDataToWs off + ExtModeArmWhenConnect on + ExtModeSkipDownloadWhenConnect off + ExtModeLogAll on + ExtModeAutoUpdateStatusClock on + BufferReuse on + ShowModelReferenceBlockVersion off + ShowModelReferenceBlockIO off + Array { + Type "Handle" + Dimension 1 + Simulink.ConfigSet { + $ObjectID 2 + Version "1.12.0" + Array { + Type "Handle" + Dimension 9 + Simulink.SolverCC { + $ObjectID 3 + Version "1.12.0" + StartTime "0.0" + StopTime "1800" + AbsTol "auto" + FixedStep "auto" + InitialStep "auto" + MaxNumMinSteps "-1" + MaxOrder 5 + ZcThreshold "auto" + ConsecutiveZCsStepRelTol "10*128*eps" + MaxConsecutiveZCs "1000" + ExtrapolationOrder 4 + NumberNewtonIterations 1 + MaxStep "auto" + MinStep "auto" + MaxConsecutiveMinStep "1" + RelTol "1e-3" + SolverMode "Auto" + EnableConcurrentExecution off + ConcurrentTasks off + Solver "ode45" + SolverName "ode45" + SolverJacobianMethodControl "auto" + ShapePreserveControl "DisableAll" + ZeroCrossControl "UseLocalSettings" + ZeroCrossAlgorithm "Nonadaptive" + AlgebraicLoopSolver "TrustRegion" + SolverResetMethod "Fast" + PositivePriorityOrder off + AutoInsertRateTranBlk off + SampleTimeConstraint "Unconstrained" + InsertRTBMode "Whenever possible" + } + Simulink.DataIOCC { + $ObjectID 4 + Version "1.12.0" + Decimation "1" + ExternalInput "[t, u]" + FinalStateName "xFinal" + InitialState "xInitial" + LimitDataPoints on + MaxDataPoints "1000" + LoadExternalInput off + LoadInitialState off + SaveFinalState off + SaveCompleteFinalSimState off + SaveFormat "Array" + SignalLoggingSaveFormat "Dataset" + SaveOutput on + SaveState off + SignalLogging on + DSMLogging on + InspectSignalLogs off + SaveTime on + ReturnWorkspaceOutputs off + StateSaveName "xout" + TimeSaveName "tout" + OutputSaveName "yout" + SignalLoggingName "logsout" + DSMLoggingName "dsmout" + OutputOption "RefineOutputTimes" + OutputTimes "[]" + ReturnWorkspaceOutputsName "out" + Refine "1" + } + Simulink.OptimizationCC { + $ObjectID 5 + Version "1.12.0" + Array { + Type "Cell" + Dimension 8 + Cell "BooleansAsBitfields" + Cell "PassReuseOutputArgsAs" + Cell "PassReuseOutputArgsThreshold" + Cell "ZeroExternalMemoryAtStartup" + Cell "ZeroInternalMemoryAtStartup" + Cell "OptimizeModelRefInitCode" + Cell "NoFixptDivByZeroProtection" + Cell "UseSpecifiedMinMax" + PropName "DisabledProps" + } + BlockReduction on + BooleanDataType on + ConditionallyExecuteInputs on + InlineParams off + UseIntDivNetSlope off + UseFloatMulNetSlope off + UseSpecifiedMinMax off + InlineInvariantSignals off + OptimizeBlockIOStorage on + BufferReuse on + EnhancedBackFolding off + StrengthReduction off + ExpressionFolding on + BooleansAsBitfields off + BitfieldContainerType "uint_T" + EnableMemcpy on + MemcpyThreshold 64 + PassReuseOutputArgsAs "Structure reference" + ExpressionDepthLimit 128 + FoldNonRolledExpr on + LocalBlockOutputs on + RollThreshold 5 + SystemCodeInlineAuto off + StateBitsets off + DataBitsets off + UseTempVars off + ZeroExternalMemoryAtStartup on + ZeroInternalMemoryAtStartup on + InitFltsAndDblsToZero off + NoFixptDivByZeroProtection off + EfficientFloat2IntCast off + EfficientMapNaN2IntZero on + OptimizeModelRefInitCode off + LifeSpan "inf" + MaxStackSize "Inherit from target" + BufferReusableBoundary on + SimCompilerOptimization "Off" + AccelVerboseBuild off + ParallelExecutionInRapidAccelerator on + } + Simulink.DebuggingCC { + $ObjectID 6 + Version "1.12.0" + RTPrefix "error" + ConsistencyChecking "none" + ArrayBoundsChecking "none" + SignalInfNanChecking "none" + SignalRangeChecking "none" + ReadBeforeWriteMsg "UseLocalSettings" + WriteAfterWriteMsg "UseLocalSettings" + WriteAfterReadMsg "UseLocalSettings" + AlgebraicLoopMsg "warning" + ArtificialAlgebraicLoopMsg "warning" + SaveWithDisabledLinksMsg "warning" + SaveWithParameterizedLinksMsg "warning" + CheckSSInitialOutputMsg on + UnderspecifiedInitializationDetection "Simplified" + MergeDetectMultiDrivingBlocksExec "error" + CheckExecutionContextPreStartOutputMsg off + CheckExecutionContextRuntimeOutputMsg off + SignalResolutionControl "UseLocalSettings" + BlockPriorityViolationMsg "warning" + MinStepSizeMsg "warning" + TimeAdjustmentMsg "none" + MaxConsecutiveZCsMsg "error" + MaskedZcDiagnostic "warning" + IgnoredZcDiagnostic "warning" + SolverPrmCheckMsg "none" + InheritedTsInSrcMsg "warning" + DiscreteInheritContinuousMsg "warning" + MultiTaskDSMMsg "error" + MultiTaskCondExecSysMsg "error" + MultiTaskRateTransMsg "error" + SingleTaskRateTransMsg "none" + TasksWithSamePriorityMsg "warning" + SigSpecEnsureSampleTimeMsg "warning" + CheckMatrixSingularityMsg "none" + IntegerOverflowMsg "warning" + Int32ToFloatConvMsg "warning" + ParameterDowncastMsg "error" + ParameterOverflowMsg "error" + ParameterUnderflowMsg "none" + ParameterPrecisionLossMsg "warning" + ParameterTunabilityLossMsg "warning" + FixptConstUnderflowMsg "none" + FixptConstOverflowMsg "none" + FixptConstPrecisionLossMsg "none" + UnderSpecifiedDataTypeMsg "none" + UnnecessaryDatatypeConvMsg "none" + VectorMatrixConversionMsg "none" + InvalidFcnCallConnMsg "error" + FcnCallInpInsideContextMsg "Enable All" + SignalLabelMismatchMsg "none" + UnconnectedInputMsg "warning" + UnconnectedOutputMsg "warning" + UnconnectedLineMsg "warning" + SFcnCompatibilityMsg "none" + FrameProcessingCompatibilityMsg "error" + UniqueDataStoreMsg "none" + BusObjectLabelMismatch "warning" + RootOutportRequireBusObject "warning" + AssertControl "UseLocalSettings" + EnableOverflowDetection off + ModelReferenceIOMsg "none" + ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" + ModelReferenceVersionMismatchMessage "none" + ModelReferenceIOMismatchMessage "none" + ModelReferenceCSMismatchMessage "none" + UnknownTsInhSupMsg "warning" + ModelReferenceDataLoggingMessage "warning" + ModelReferenceSymbolNameMessage "warning" + ModelReferenceExtraNoncontSigs "error" + StateNameClashWarn "none" + SimStateInterfaceChecksumMismatchMsg "warning" + SimStateOlderReleaseMsg "error" + InitInArrayFormatMsg "warning" + StrictBusMsg "ErrorLevel1" + BusNameAdapt "WarnAndRepair" + NonBusSignalsTreatedAsBus "none" + LoggingUnavailableSignals "error" + BlockIODiagnostic "none" + SFUnusedDataAndEventsDiag "warning" + SFUnexpectedBacktrackingDiag "warning" + SFInvalidInputDataAccessInChartInitDiag "warning" + SFNoUnconditionalDefaultTransitionDiag "warning" + SFTransitionOutsideNaturalParentDiag "warning" + SFUnconditionalTransitionShadowingDiag "warning" + } + Simulink.HardwareCC { + $ObjectID 7 + Version "1.12.0" + ProdBitPerChar 8 + ProdBitPerShort 16 + ProdBitPerInt 32 + ProdBitPerLong 32 + ProdBitPerFloat 32 + ProdBitPerDouble 64 + ProdBitPerPointer 32 + ProdLargestAtomicInteger "Char" + ProdLargestAtomicFloat "None" + ProdIntDivRoundTo "Undefined" + ProdEndianess "Unspecified" + ProdWordSize 32 + ProdShiftRightIntArith on + ProdHWDeviceType "32-bit Generic" + TargetBitPerChar 8 + TargetBitPerShort 16 + TargetBitPerInt 32 + TargetBitPerLong 32 + TargetBitPerFloat 32 + TargetBitPerDouble 64 + TargetBitPerPointer 32 + TargetLargestAtomicInteger "Char" + TargetLargestAtomicFloat "None" + TargetShiftRightIntArith on + TargetIntDivRoundTo "Undefined" + TargetEndianess "Unspecified" + TargetWordSize 32 + TargetTypeEmulationWarnSuppressLevel 0 + TargetPreprocMaxBitsSint 32 + TargetPreprocMaxBitsUint 32 + TargetHWDeviceType "Specified" + TargetUnknown off + ProdEqTarget on + } + Simulink.ModelReferenceCC { + $ObjectID 8 + Version "1.12.0" + UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" + CheckModelReferenceTargetMessage "error" + EnableParallelModelReferenceBuilds off + ParallelModelReferenceErrorOnInvalidPool on + ParallelModelReferenceMATLABWorkerInit "None" + ModelReferenceNumInstancesAllowed "Multi" + PropagateVarSize "Infer from blocks in model" + ModelReferencePassRootInputsByReference on + ModelReferenceMinAlgLoopOccurrences off + PropagateSignalLabelsOutOfModel off + SupportModelReferenceSimTargetCustomCode off + } + Simulink.SFSimCC { + $ObjectID 9 + Version "1.12.0" + SFSimEnableDebug on + SFSimOverflowDetection on + SFSimEcho on + SimBlas on + SimCtrlC on + SimExtrinsic on + SimIntegrity on + SimUseLocalCustomCode off + SimParseCustomCode on + SimBuildMode "sf_incremental_build" + } + Simulink.RTWCC { + $BackupClass "Simulink.RTWCC" + $ObjectID 10 + Version "1.12.0" + Array { + Type "Cell" + Dimension 16 + Cell "IncludeHyperlinkInReport" + Cell "GenerateTraceInfo" + Cell "GenerateTraceReport" + Cell "GenerateTraceReportSl" + Cell "GenerateTraceReportSf" + Cell "GenerateTraceReportEml" + Cell "PortableWordSizes" + Cell "GenerateWebview" + Cell "GenerateCodeMetricsReport" + Cell "GenerateCodeReplacementReport" + Cell "GenerateMissedCodeReplacementReport" + Cell "GenerateErtSFunction" + Cell "CreateSILPILBlock" + Cell "CodeExecutionProfiling" + Cell "CodeProfilingSaveOptions" + Cell "CodeProfilingInstrumentation" + PropName "DisabledProps" + } + SystemTargetFile "grt.tlc" + GenCodeOnly off + MakeCommand "make_rtw" + GenerateMakefile on + TemplateMakefile "grt_default_tmf" + GenerateReport off + SaveLog off + RTWVerbose on + RetainRTWFile off + ProfileTLC off + TLCDebug off + TLCCoverage off + TLCAssert off + ProcessScriptMode "Default" + ConfigurationMode "Optimized" + ConfigAtBuild off + RTWUseLocalCustomCode off + RTWUseSimCustomCode off + IncludeHyperlinkInReport off + LaunchReport off + TargetLang "C" + IncludeBusHierarchyInRTWFileBlockHierarchyMap off + IncludeERTFirstTime off + GenerateTraceInfo off + GenerateTraceReport off + GenerateTraceReportSl off + GenerateTraceReportSf off + GenerateTraceReportEml off + GenerateCodeInfo off + GenerateWebview off + GenerateCodeMetricsReport off + GenerateCodeReplacementReport off + RTWCompilerOptimization "Off" + CheckMdlBeforeBuild "Off" + CustomRebuildMode "OnUpdate" + Array { + Type "Handle" + Dimension 2 + Simulink.CodeAppCC { + $ObjectID 11 + Version "1.12.0" + Array { + Type "Cell" + Dimension 24 + Cell "IgnoreCustomStorageClasses" + Cell "ParameterTuningSideEffectCode" + Cell "IgnoreTestpoints" + Cell "InsertBlockDesc" + Cell "InsertPolySpaceComments" + Cell "SFDataObjDesc" + Cell "MATLABFcnDesc" + Cell "SimulinkDataObjDesc" + Cell "DefineNamingRule" + Cell "SignalNamingRule" + Cell "ParamNamingRule" + Cell "InternalIdentifier" + Cell "InlinedPrmAccess" + Cell "CustomSymbolStr" + Cell "CustomSymbolStrGlobalVar" + Cell "CustomSymbolStrType" + Cell "CustomSymbolStrField" + Cell "CustomSymbolStrFcn" + Cell "CustomSymbolStrFcnArg" + Cell "CustomSymbolStrBlkIO" + Cell "CustomSymbolStrTmpVar" + Cell "CustomSymbolStrMacro" + Cell "CustomSymbolStrUtil" + Cell "ReqsInCode" + PropName "DisabledProps" + } + ForceParamTrailComments off + GenerateComments on + IgnoreCustomStorageClasses on + IgnoreTestpoints off + IncHierarchyInIds off + MaxIdLength 31 + PreserveName off + PreserveNameWithParent off + ShowEliminatedStatement off + IncAutoGenComments off + SimulinkDataObjDesc off + SFDataObjDesc off + MATLABFcnDesc off + IncDataTypeInIds off + MangleLength 1 + CustomSymbolStrGlobalVar "$R$N$M" + CustomSymbolStrType "$N$R$M_T" + CustomSymbolStrField "$N$M" + CustomSymbolStrFcn "$R$N$M$F" + CustomSymbolStrFcnArg "rt$I$N$M" + CustomSymbolStrBlkIO "rtb_$N$M" + CustomSymbolStrTmpVar "$N$M" + CustomSymbolStrMacro "$R$N$M" + DefineNamingRule "None" + ParamNamingRule "None" + SignalNamingRule "None" + InsertBlockDesc off + InsertPolySpaceComments off + SimulinkBlockComments on + MATLABSourceComments off + EnableCustomComments off + InlinedPrmAccess "Literals" + ReqsInCode off + UseSimReservedNames off + } + Simulink.GRTTargetCC { + $BackupClass "Simulink.TargetCC" + $ObjectID 12 + Version "1.12.0" + Array { + Type "Cell" + Dimension 13 + Cell "GeneratePreprocessorConditionals" + Cell "IncludeMdlTerminateFcn" + Cell "SuppressErrorStatus" + Cell "ERTCustomFileBanners" + Cell "GenerateSampleERTMain" + Cell "GenerateTestInterfaces" + Cell "ModelStepFunctionPrototypeControlCompliant" + Cell "GenerateAllocFcn" + Cell "PurelyIntegerCode" + Cell "SupportComplex" + Cell "SupportAbsoluteTime" + Cell "SupportContinuousTime" + Cell "SupportNonInlinedSFcns" + PropName "DisabledProps" + } + TargetFcnLib "ansi_tfl_table_tmw.mat" + TargetLibSuffix "" + TargetPreCompLibLocation "" + CodeReplacementLibrary "None" + UtilityFuncGeneration "Auto" + ERTMultiwordTypeDef "System defined" + CodeExecutionProfiling off + ERTMultiwordLength 256 + MultiwordLength 2048 + GenerateFullHeader on + GenerateSampleERTMain off + GenerateTestInterfaces off + IsPILTarget off + ModelReferenceCompliant on + ParMdlRefBuildCompliant on + CompOptLevelCompliant on + ConcurrentExecutionCompliant on + IncludeMdlTerminateFcn on + GeneratePreprocessorConditionals "Disable all" + CombineOutputUpdateFcns on + CombineSignalStateStructs off + SuppressErrorStatus off + ERTFirstTimeCompliant off + IncludeFileDelimiter "Auto" + ERTCustomFileBanners off + SupportAbsoluteTime on + LogVarNameModifier "rt_" + MatFileLogging on + MultiInstanceERTCode off + SupportNonFinite on + SupportComplex on + PurelyIntegerCode off + SupportContinuousTime on + SupportNonInlinedSFcns on + SupportVariableSizeSignals off + EnableShiftOperators on + ParenthesesLevel "Nominal" + PortableWordSizes off + ModelStepFunctionPrototypeControlCompliant off + CPPClassGenCompliant on + AutosarCompliant off + GRTInterface off + UseMalloc off + ExtMode off + ExtModeStaticAlloc off + ExtModeTesting off + ExtModeStaticAllocSize 1000000 + ExtModeTransport 0 + ExtModeMexFile "ext_comm" + ExtModeIntrfLevel "Level1" + RTWCAPISignals off + RTWCAPIParams off + RTWCAPIStates off + RTWCAPIRootIO off + GenerateASAP2 off + } + PropName "Components" + } + } + hdlcoderui.hdlcc { + $ObjectID 13 + Version "1.12.0" + Description "HDL Coder custom configuration component" + Name "HDL Coder" + Array { + Type "Cell" + Dimension 1 + Cell " " + PropName "HDLConfigFile" + } + HDLCActiveTab "0" + } + PropName "Components" + } + Name "Configuration" + CurrentDlgPage "Solver" + ConfigPrmDlgPosition [ 300, 205, 1380, 845 ] + } + PropName "ConfigurationSets" + } + Simulink.ConfigSet { + $PropName "ActiveConfigurationSet" + $ObjectID 2 + } + ExplicitPartitioning off + BlockDefaults { + ForegroundColor "black" + BackgroundColor "white" + DropShadow off + NamePlacement "normal" + FontName "Helvetica" + FontSize 10 + FontWeight "normal" + FontAngle "normal" + ShowName on + BlockRotation 0 + BlockMirror off + } + AnnotationDefaults { + HorizontalAlignment "center" + VerticalAlignment "middle" + ForegroundColor "black" + BackgroundColor "white" + DropShadow off + FontName "Helvetica" + FontSize 10 + FontWeight "normal" + FontAngle "normal" + UseDisplayTextAsClickCallback off + } + LineDefaults { + FontName "Helvetica" + FontSize 9 + FontWeight "normal" + FontAngle "normal" + } + BlockParameterDefaults { + Block { + BlockType DataTypeConversion + OutMin "[]" + OutMax "[]" + OutDataTypeStr "Inherit: Inherit via back propagation" + LockScale off + ConvertRealWorld "Real World Value (RWV)" + RndMeth "Zero" + SaturateOnIntegerOverflow on + SampleTime "-1" + } + Block { + BlockType Delay + DelayLengthSource "Dialog" + DelayLength "2" + DelayLengthUpperLimit "100" + InitialConditionSource "Dialog" + InitialCondition "0.0" + ExternalReset "None" + PreventDirectFeedthrough off + DiagnosticForOutOfRangeDelayLength "None" + RemoveProtectionDelayLength off + InputProcessing "Elements as channels (sample based)" + UseCircularBuffer off + SampleTime "-1" + StateMustResolveToSignalObject off + CodeGenStateStorageClass "Auto" + } + Block { + BlockType DiscretePulseGenerator + PulseType "Sample based" + TimeSource "Use simulation time" + Amplitude "1" + Period "2" + PulseWidth "1" + PhaseDelay "0" + SampleTime "1" + VectorParams1D on + } + Block { + BlockType Inport + Port "1" + OutputFunctionCall off + OutMin "[]" + OutMax "[]" + OutDataTypeStr "Inherit: auto" + LockScale off + BusOutputAsStruct off + PortDimensions "-1" + VarSizeSig "Inherit" + SampleTime "-1" + SignalType "auto" + SamplingMode "auto" + LatchByDelayingOutsideSignal off + LatchInputForFeedbackSignals off + Interpolate on + } + Block { + BlockType Outport + Port "1" + OutMin "[]" + OutMax "[]" + OutDataTypeStr "Inherit: auto" + LockScale off + BusOutputAsStruct off + PortDimensions "-1" + VarSizeSig "Inherit" + SampleTime "-1" + SignalType "auto" + SamplingMode "auto" + SourceOfInitialOutputValue "Dialog" + OutputWhenDisabled "held" + InitialOutput "[]" + } + Block { + BlockType Scope + ModelBased off + TickLabels "OneTimeTick" + ZoomMode "on" + Grid "on" + TimeRange "auto" + YMin "-5" + YMax "5" + SaveToWorkspace off + SaveName "ScopeData" + DataFormat "Array" + LimitDataPoints on + MaxDataPoints "5000" + Decimation "1" + SampleInput off + SampleTime "-1" + } + Block { + BlockType SubSystem + ShowPortLabels "FromPortIcon" + Permissions "ReadWrite" + PermitHierarchicalResolution "All" + TreatAsAtomicUnit off + CheckFcnCallInpInsideContextMsg off + SystemSampleTime "-1" + RTWFcnNameOpts "Auto" + RTWFileNameOpts "Auto" + RTWMemSecFuncInitTerm "Inherit from model" + RTWMemSecFuncExecute "Inherit from model" + RTWMemSecDataConstants "Inherit from model" + RTWMemSecDataInternal "Inherit from model" + RTWMemSecDataParameters "Inherit from model" + SimViewingDevice off + DataTypeOverride "UseLocalSettings" + DataTypeOverrideAppliesTo "AllNumericTypes" + MinMaxOverflowLogging "UseLocalSettings" + SFBlockType "NONE" + Variant off + GeneratePreprocessorConditionals off + } + Block { + BlockType Sum + IconShape "rectangular" + Inputs "++" + CollapseMode "All dimensions" + CollapseDim "1" + InputSameDT on + AccumDataTypeStr "Inherit: Inherit via internal rule" + OutMin "[]" + OutMax "[]" + OutDataTypeStr "Inherit: Same as first input" + LockScale off + RndMeth "Floor" + SaturateOnIntegerOverflow on + SampleTime "-1" + } + } + System { + Name "Test" + Location [1, 57, 1359, 766] + Open on + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "90" + ReportName "simulink-default.rpt" + SIDHighWatermark "869" + Block { + BlockType Reference + Name " System Generator" + SID "4" + Tag "genX" + Ports [] + Position [22, 22, 72, 72] + ZOrder 4 + ShowName off + AttributesFormatString "System\\nGenerator" + LibraryVersion "1.2" + UserDataPersistent on + UserData "DataTag0" + SourceBlock "xbsIndex_r4/ System Generator" + SourceType "Xilinx System Generator Block" + infoedit " System Generator" + xilinxfamily "spartan6" + part "xc6slx25" + speed "-3" + package "ftg256" + synthesis_tool "XST" + clock_wrapper "Clock Enables" + directory "./netlist" + proj_type "Project Navigator" + Synth_file "XST Defaults" + Impl_file "ISE Defaults" + testbench off + simulink_period "1" + sysclk_period "10" + dcm_input_clock_period "10" + incr_netlist off + trim_vbits "Everywhere in SubSystem" + dbl_ovrd "According to Block Masks" + core_generation "According to Block Masks" + run_coregen off + deprecated_control off + eval_field "0" + has_advanced_control "0" + sggui_pos "326,241,464,470" + block_type "sysgen" + sg_icon_stat "50,50,0,0,token,white,0,58c5b5770fe5f7c311f53dbc6e73f0f6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]" + ");\npatch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.1" + "55 36.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 3" + "6.655 26.155 ],[0.698039 0.0313725 0.219608 ]);\npatch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.15" + "5 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.15" + "5 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);\nfprintf('','COMMENT: end icon graph" + "ics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Aumento del Risetime" + SID "835" + Ports [1, 1] + Position [210, 237, 275, 293] + ZOrder 121 + LibraryVersion "1.320" + SourceBlock "dsparch4/Analog\nFilter Design" + SourceType "Analog Filter Design" + method "Butterworth" + filttype "Lowpass" + N "1" + Wlo "0.45" + Whi "80" + Rp "2" + Rs "40" + } + Block { + BlockType Scope + Name "Digitalizada sola" + SID "854" + Ports [1] + Position [1080, 64, 1175, 166] + ZOrder 122 + Floating off + Location [28, 58, 1355, 765] + Open off + NumInputPorts "1" + ZoomMode "xonly" + List { + ListType AxesTitles + axes1 "%" + } + List { + ListType ScopeGraphics + FigureColor "[0.5 0.5 0.5]" + AxesColor "[0 0 0]" + AxesTickColor "[1 1 1]" + LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" + LineStyles "-|-|-|-|-|-" + LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" + MarkerStyles "none|none|none|none|none|none" + } + ShowLegends on + YMin "-0.1" + YMax "1.1" + LimitDataPoints off + } + Block { + BlockType Reference + Name "Gateway Out1" + SID "396" + Ports [1, 1] + Position [880, 470, 940, 490] + ZOrder 83 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway Out" + SourceType "Xilinx Gateway Out Block" + infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of ty" + "pe Simulink integer, single, double, or fixed-point.

Hardware notes: In hardware these blocks become top" + " level output ports or are discarded, depending on how they are configured." + inherit_from_input off + hdl_port on + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsDAC off + DACChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,388,578" + block_type "gatewayout" + sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0." + "93 0.65 ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12." + "22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 1" + "2.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ]," + "[1 1 1 ]);\npatch([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.97" + "9 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" + "port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nf" + "printf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Gaussian Noise\nGenerator" + SID "823" + Ports [0, 1] + Position [250, 333, 330, 377] + ZOrder 108 + LibraryVersion "1.74" + SourceBlock "commnoisgen2/Gaussian Noise\nGenerator" + SourceType "Gaussian Noise Generator" + m "0" + d "0.45" + s "41" + Ts "0.1" + frameBased off + sampPerFrame "1" + orient off + outDataType "double" + } + Block { + BlockType Delay + Name "Limitacion de BW" + SID "848" + Ports [1, 1] + Position [380, 103, 415, 137] + ZOrder 137 + InputPortMap "u0" + DelayLength "2400" + UseCircularBuffer on + SampleTime "0.01" + } + Block { + BlockType Reference + Name "Mean Value" + SID "851" + Ports [1, 1] + Position [1220, 556, 1295, 614] + ZOrder -5 + LibraryVersion "1.648" + FontName "Verdana" + FontSize 11 + SourceBlock "powerlib_extras/Measurements/Mean Value" + SourceType "Mean value" + Period "1/100" + } + Block { + BlockType DiscretePulseGenerator + Name "Senal Original\n" + SID "25" + Ports [0, 1] + Position [20, 249, 50, 281] + ZOrder 25 + PulseType "Time based" + Period "100" + PulseWidth "50" + Port { + PortNumber 1 + Name "Original" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Block { + BlockType Delay + Name "Senial Original" + SID "847" + Ports [1, 1] + Position [240, 38, 275, 72] + ZOrder 136 + InputPortMap "u0" + DelayLength "2400" + UseCircularBuffer on + SampleTime "0.01" + } + Block { + BlockType Reference + Name "Senial Regenerada\n" + SID "98" + Ports [1, 1] + Position [880, 385, 940, 405] + ZOrder 62 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway Out" + SourceType "Xilinx Gateway Out Block" + infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of ty" + "pe Simulink integer, single, double, or fixed-point.

Hardware notes: In hardware these blocks become top" + " level output ports or are discarded, depending on how they are configured." + inherit_from_input off + hdl_port on + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsDAC off + DACChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,388,578" + block_type "gatewayout" + sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0." + "93 0.65 ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12." + "22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 1" + "2.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ]," + "[1 1 1 ]);\npatch([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.97" + "9 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" + "port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nf" + "printf('','COMMENT: end icon text');" + Port { + PortNumber 1 + Name "Regenerado" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Block { + BlockType Delay + Name "Senial con Ruido" + SID "849" + Ports [1, 1] + Position [515, 168, 550, 202] + ZOrder 138 + InputPortMap "u0" + DelayLength "2400" + UseCircularBuffer on + SampleTime "0.01" + } + Block { + BlockType Scope + Name "Seniales\n1" + SID "853" + Ports [3] + Position [650, 22, 775, 218] + ZOrder 52 + Floating off + Location [75, 58, 1355, 765] + Open on + NumInputPorts "3" + ZoomMode "xonly" + List { + ListType AxesTitles + axes1 "%" + axes2 "%" + axes3 "%" + } + List { + ListType ScopeGraphics + FigureColor "[0.5 0.5 0.5]" + AxesColor "[0 0 0]" + AxesTickColor "[1 1 1]" + LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" + LineStyles "-|-|-|-|-|-" + LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" + MarkerStyles "none|none|none|none|none|none" + } + ShowLegends on + LegendLocations "0.86465 0.91549 0.10742 0.031117\n0.85371 0.60431 0.11836 0.031117\n" + "0.85449 0.29597 0.11758 0.031117" + YMin "-0.1~-0.1~-5" + YMax "1.1~1.1~5" + SaveName "ScopeData2" + LimitDataPoints off + } + Block { + BlockType SubSystem + Name "Subsystem" + SID "857" + Ports [1, 3] + Position [650, 265, 815, 525] + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "Subsystem" + Location [1, 57, 1359, 766] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "100" + Block { + BlockType Inport + Name "In1" + SID "858" + Position [25, 78, 55, 92] + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "Constant1" + SID "382" + Ports [0, 1] + Position [210, 122, 265, 148] + ZOrder 70 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "5" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,98872051,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'5');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant10" + SID "392" + Ports [0, 1] + Position [210, 647, 265, 673] + ZOrder 126 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "2100" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,95ca144c,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'2100');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant11" + SID "393" + Ports [0, 1] + Position [210, 672, 265, 698] + ZOrder 127 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "2500" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,971c8880,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'2500');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant12" + SID "394" + Ports [0, 1] + Position [210, 697, 265, 723] + ZOrder 128 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "3000" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,18f39e35,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'3000');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant13" + SID "541" + Ports [0, 1] + Position [210, 272, 265, 298] + ZOrder 90 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "90" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,a05f99df,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'90');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant14" + SID "542" + Ports [0, 1] + Position [210, 297, 265, 323] + ZOrder 91 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "120" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,576f855e,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'120');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant15" + SID "543" + Ports [0, 1] + Position [210, 322, 265, 348] + ZOrder 92 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "180" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,92ae5dd2,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'180');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant16" + SID "544" + Ports [0, 1] + Position [210, 347, 265, 373] + ZOrder 93 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "250" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bd9e34bd,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'250');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant17" + SID "555" + Ports [0, 1] + Position [210, 472, 265, 498] + ZOrder 104 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "630" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,a215a337,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'630');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant18" + SID "556" + Ports [0, 1] + Position [210, 497, 265, 523] + ZOrder 105 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "800" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,a784f75f,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'800');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant19" + SID "557" + Ports [0, 1] + Position [210, 522, 265, 548] + ZOrder 106 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "950" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,1e8bd70e,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'950');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant2" + SID "384" + Ports [0, 1] + Position [210, 147, 265, 173] + ZOrder 72 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "10" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,46ffeebb,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'10');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant20" + SID "551" + Ports [0, 1] + Position [210, 372, 265, 398] + ZOrder 100 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "310" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,96ce42e6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'310');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant21" + SID "552" + Ports [0, 1] + Position [210, 397, 265, 423] + ZOrder 101 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "380" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,94ea65d0,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'380');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant22" + SID "553" + Ports [0, 1] + Position [210, 422, 265, 448] + ZOrder 102 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "470" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,cdab15f4,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'470');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant23" + SID "554" + Ports [0, 1] + Position [210, 447, 265, 473] + ZOrder 103 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "500" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,cda76414,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'500');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant24" + SID "558" + Ports [0, 1] + Position [210, 547, 265, 573] + ZOrder 107 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "1100" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,aec55ce7,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'1100');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant25" + SID "863" + Ports [0, 1] + Position [210, 97, 265, 123] + ZOrder 70 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "-18145" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,a751d7ed,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'-18145');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant3" + SID "385" + Ports [0, 1] + Position [210, 172, 265, 198] + ZOrder 73 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "20" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,a4afb800,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'20');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant4" + SID "386" + Ports [0, 1] + Position [210, 197, 265, 223] + ZOrder 74 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "40" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,11146e08,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'40');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant5" + SID "387" + Ports [0, 1] + Position [210, 222, 265, 248] + ZOrder 75 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "60" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,72d84662,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'60');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant6" + SID "388" + Ports [0, 1] + Position [210, 247, 265, 273] + ZOrder 76 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "80" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,73f1395c,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'80');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant7" + SID "389" + Ports [0, 1] + Position [210, 572, 265, 598] + ZOrder 123 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "1300" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,3192aa8f,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'1300');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant8" + SID "390" + Ports [0, 1] + Position [210, 597, 265, 623] + ZOrder 124 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "1500" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,35f205a7,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'1500');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Constant9" + SID "391" + Ports [0, 1] + Position [210, 622, 265, 648] + ZOrder 125 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "1750" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,2e94a24d,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" + " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" + "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" + ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" + " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" + " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" + "_label('output',1,'1750');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType SubSystem + Name "Filtro" + SID "104" + Ports [26, 3] + Position [365, 26, 570, 769] + ZOrder 68 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "Filtro" + Location [1, 57, 1359, 766] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "25" + Block { + BlockType Inport + Name "D" + SID "105" + Position [20, 78, 50, 92] + ZOrder 66 + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K0\n" + SID "364" + Position [20, 118, 50, 132] + ZOrder 99 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K1" + SID "367" + Position [20, 643, 50, 657] + ZOrder 102 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K2" + SID "368" + Position [20, 693, 50, 707] + ZOrder 103 + Port "4" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K3" + SID "369" + Position [20, 738, 50, 752] + ZOrder 104 + Port "5" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K4" + SID "370" + Position [20, 788, 50, 802] + ZOrder 105 + Port "6" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K5" + SID "371" + Position [20, 838, 50, 852] + ZOrder 106 + Port "7" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K6" + SID "372" + Position [20, 888, 50, 902] + ZOrder 107 + Port "8" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K7" + SID "373" + Position [20, 933, 50, 947] + ZOrder 108 + Port "9" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K8" + SID "374" + Position [20, 978, 50, 992] + ZOrder 109 + Port "10" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K9" + SID "375" + Position [20, 1028, 50, 1042] + ZOrder 110 + Port "11" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K10" + SID "376" + Position [20, 1078, 50, 1092] + ZOrder 111 + Port "12" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K11" + SID "379" + Position [20, 1128, 50, 1142] + ZOrder 114 + Port "13" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K12" + SID "380" + Position [20, 1178, 50, 1192] + ZOrder 115 + Port "14" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K13" + SID "529" + Position [20, 1228, 50, 1242] + ZOrder 134 + Port "15" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K14" + SID "530" + Position [20, 1273, 50, 1287] + ZOrder 135 + Port "16" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K15" + SID "531" + Position [20, 1323, 50, 1337] + ZOrder 136 + Port "17" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K16" + SID "532" + Position [20, 1373, 50, 1387] + ZOrder 137 + Port "18" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K17" + SID "533" + Position [20, 1423, 50, 1437] + ZOrder 138 + Port "19" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K18" + SID "534" + Position [20, 1468, 50, 1482] + ZOrder 139 + Port "20" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K19" + SID "535" + Position [20, 1513, 50, 1527] + ZOrder 140 + Port "21" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K20" + SID "536" + Position [20, 1563, 50, 1577] + ZOrder 141 + Port "22" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K21" + SID "537" + Position [20, 1613, 50, 1627] + ZOrder 142 + Port "23" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K22" + SID "538" + Position [20, 1663, 50, 1677] + ZOrder 143 + Port "24" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K23" + SID "539" + Position [20, 1713, 50, 1727] + ZOrder 144 + Port "25" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "K24" + SID "540" + Position [20, 1758, 50, 1772] + ZOrder 145 + Port "26" + IconDisplay "Port number" + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 1\n" + SID "220" + Ports [3, 2] + Position [185, 66, 255, 184] + ZOrder 85 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 1\n" + Location [1, 57, 1359, 766] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "175" + Block { + BlockType Inport + Name "D\n" + SID "221" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "222" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "223" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "224" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "225" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "226" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "227" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "228" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "229" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "230" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 10" + SID "309" + Ports [3, 2] + Position [1080, 66, 1150, 184] + ZOrder 96 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 10" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "310" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "311" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "312" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "313" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "314" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "315" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "316" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "317" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "318" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "319" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 11" + SID "331" + Ports [3, 2] + Position [1175, 66, 1245, 184] + ZOrder 97 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 11" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "332" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "333" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "334" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "335" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "336" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "337" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "338" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "339" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "340" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "341" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 12" + SID "353" + Ports [3, 2] + Position [1275, 66, 1345, 184] + ZOrder 98 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 12" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "354" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "355" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "356" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "357" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "358" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "359" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "360" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "361" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "362" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "363" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 13" + SID "397" + Ports [3, 2] + Position [1390, 66, 1460, 184] + ZOrder 122 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 13" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "398" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "399" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "400" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "401" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "402" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "403" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "404" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "405" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "406" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "407" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 14" + SID "441" + Ports [3, 2] + Position [1490, 66, 1560, 184] + ZOrder 123 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 14" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "442" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "443" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "444" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "445" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "446" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "447" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "448" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "449" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "450" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "451" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 15" + SID "485" + Ports [3, 2] + Position [1590, 66, 1660, 184] + ZOrder 124 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 15" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "486" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "487" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "488" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "489" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "490" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "491" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "492" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "493" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "494" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "495" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 17" + SID "452" + Ports [3, 2] + Position [1785, 66, 1855, 184] + ZOrder 126 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 17" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "453" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "454" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "455" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "456" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "457" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "458" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "459" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "460" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "461" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "462" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 18" + SID "496" + Ports [3, 2] + Position [1885, 66, 1955, 184] + ZOrder 127 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 18" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "497" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "498" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "499" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "500" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "501" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "502" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "503" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "504" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "505" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "506" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 19" + SID "419" + Ports [3, 2] + Position [1985, 66, 2055, 184] + ZOrder 128 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 19" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "420" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "421" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "422" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "423" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "424" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "425" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "426" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "427" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "428" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "429" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 2\n" + SID "231" + Ports [3, 2] + Position [285, 66, 355, 184] + ZOrder 86 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 2\n" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "232" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "233" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "234" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "235" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "236" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "237" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "238" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "239" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "240" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "241" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 20" + SID "463" + Ports [3, 2] + Position [2085, 66, 2155, 184] + ZOrder 129 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 20" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "464" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "465" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "466" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "467" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "468" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "469" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "470" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "471" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "472" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "473" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 21" + SID "507" + Ports [3, 2] + Position [2185, 66, 2255, 184] + ZOrder 130 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 21" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "508" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "509" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "510" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "511" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "512" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "513" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "514" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "515" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "516" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "517" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 22" + SID "430" + Ports [3, 2] + Position [2285, 66, 2355, 184] + ZOrder 131 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 22" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "431" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "432" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "433" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "434" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "435" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "436" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "437" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "438" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "439" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "440" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 23" + SID "474" + Ports [3, 2] + Position [2380, 66, 2450, 184] + ZOrder 132 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 23" + Location [1, 57, 1679, 1051] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "475" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "476" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "477" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "478" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "479" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "480" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "481" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "482" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "483" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "484" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 24" + SID "518" + Ports [3, 2] + Position [2480, 66, 2550, 184] + ZOrder 133 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 24" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "519" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "520" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "521" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "522" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "523" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "524" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "525" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "526" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "527" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "528" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 25" + SID "713" + Ports [3, 2] + Position [2595, 66, 2665, 184] + ZOrder 151 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 25" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "714" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "715" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "716" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "717" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "718" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "719" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "720" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "721" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "722" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "723" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 26" + SID "559" + Ports [3, 2] + Position [2695, 66, 2765, 184] + ZOrder 152 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 26" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "560" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "561" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "562" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "563" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "564" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "565" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "566" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "567" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "568" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "569" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 27" + SID "636" + Ports [3, 2] + Position [2790, 66, 2860, 184] + ZOrder 153 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 27" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "637" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "638" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "639" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "640" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "641" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "642" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "643" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "644" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "645" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "646" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 28" + SID "724" + Ports [3, 2] + Position [2890, 66, 2960, 184] + ZOrder 154 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 28" + Location [0, 26, 1678, 1020] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "725" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "726" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "727" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "728" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "729" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "730" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "731" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "732" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "733" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "734" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 29" + SID "570" + Ports [3, 2] + Position [2990, 66, 3060, 184] + ZOrder 155 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 29" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "571" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "572" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "573" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "574" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "575" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "576" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "577" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "578" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "579" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "580" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 3\n" + SID "242" + Ports [3, 2] + Position [385, 66, 455, 184] + ZOrder 87 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 3\n" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "243" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "244" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "245" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "246" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "247" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "248" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "249" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "250" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "251" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "252" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 30" + SID "647" + Ports [3, 2] + Position [3090, 66, 3160, 184] + ZOrder 156 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 30" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "648" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "649" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "650" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "651" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "652" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "653" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "654" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "655" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "656" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "657" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 31" + SID "735" + Ports [3, 2] + Position [3190, 66, 3260, 184] + ZOrder 157 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 31" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "736" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "737" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "738" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "739" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "740" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "741" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "742" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "743" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "744" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "745" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 32" + SID "581" + Ports [3, 2] + Position [3290, 66, 3360, 184] + ZOrder 158 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 32" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "582" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "583" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "584" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "585" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "586" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "587" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "588" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "589" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "590" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "591" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 33" + SID "658" + Ports [3, 2] + Position [3385, 66, 3455, 184] + ZOrder 159 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 33" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "659" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "660" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "661" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "662" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "663" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "664" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "665" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "666" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "667" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "668" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 34" + SID "746" + Ports [3, 2] + Position [3485, 66, 3555, 184] + ZOrder 160 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 34" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "747" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "748" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "749" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "750" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "751" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "752" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "753" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "754" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "755" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "756" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 35" + SID "592" + Ports [3, 2] + Position [3600, 66, 3670, 184] + ZOrder 161 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 35" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "593" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "594" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "595" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "596" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "597" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "598" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "599" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "600" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "601" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "602" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 36" + SID "669" + Ports [3, 2] + Position [3700, 66, 3770, 184] + ZOrder 162 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 36" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "670" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "671" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "672" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "673" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "674" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "675" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "676" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "677" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "678" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "679" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 37" + SID "757" + Ports [3, 2] + Position [3800, 66, 3870, 184] + ZOrder 163 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 37" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "758" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "759" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "760" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "761" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "762" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "763" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "764" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "765" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "766" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "767" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 38" + SID "603" + Ports [3, 2] + Position [3900, 66, 3970, 184] + ZOrder 164 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 38" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "604" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "605" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "606" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "607" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "608" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "609" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "610" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "611" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "612" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "613" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 39" + SID "680" + Ports [3, 2] + Position [3995, 66, 4065, 184] + ZOrder 165 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 39" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "681" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "682" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "683" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "684" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "685" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "686" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "687" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "688" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "689" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "690" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 4" + SID "265" + Ports [3, 2] + Position [485, 66, 555, 184] + ZOrder 90 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 4" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "266" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "267" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "268" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "269" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "270" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "271" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "272" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "273" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "274" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "275" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 40" + SID "768" + Ports [3, 2] + Position [4095, 66, 4165, 184] + ZOrder 166 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 40" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "769" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "770" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "771" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "772" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "773" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "774" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "775" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "776" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "777" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "778" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 41" + SID "614" + Ports [3, 2] + Position [4195, 66, 4265, 184] + ZOrder 167 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 41" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "615" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "616" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "617" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "618" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "619" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "620" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "621" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "622" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "623" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "624" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 42" + SID "691" + Ports [3, 2] + Position [4295, 66, 4365, 184] + ZOrder 168 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 42" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "692" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "693" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "694" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "695" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "696" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "697" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "698" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "699" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "700" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "701" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 43" + SID "779" + Ports [3, 2] + Position [4395, 66, 4465, 184] + ZOrder 169 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 43" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "780" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "781" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "782" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "783" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "784" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "785" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "786" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "787" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "788" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "789" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 44" + SID "625" + Ports [3, 2] + Position [4495, 66, 4565, 184] + ZOrder 170 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 44" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "626" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "627" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "628" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "629" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "630" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "631" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "632" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "633" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "634" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "635" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 45" + SID "702" + Ports [3, 2] + Position [4590, 66, 4660, 184] + ZOrder 171 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 45" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "703" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "704" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "705" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "706" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "707" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "708" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "709" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "710" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "711" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "712" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 46" + SID "790" + Ports [3, 2] + Position [4690, 66, 4760, 184] + ZOrder 172 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 46" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "791" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "792" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "793" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "794" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "795" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "796" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "797" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "798" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "799" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "800" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 47" + SID "801" + Ports [3, 2] + Position [4790, 66, 4860, 184] + ZOrder 173 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 47" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "802" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "803" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "804" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "805" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "806" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "807" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "808" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "809" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "810" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "811" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 48" + SID "812" + Ports [3, 2] + Position [4895, 66, 4965, 184] + ZOrder 174 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 48" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "813" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "814" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "815" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "816" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "817" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "818" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "819" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "820" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "821" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "822" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 5" + SID "276" + Ports [3, 2] + Position [580, 66, 650, 184] + ZOrder 91 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 5" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "277" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "278" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "279" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "280" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "281" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "282" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "283" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "284" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "285" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "286" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 6" + SID "287" + Ports [3, 2] + Position [680, 66, 750, 184] + ZOrder 92 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 6" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "288" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "289" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "290" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "291" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "292" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "293" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "294" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "295" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "296" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "297" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 7" + SID "298" + Ports [3, 2] + Position [780, 66, 850, 184] + ZOrder 93 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 7" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "299" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "300" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "301" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "302" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "303" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "304" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "305" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "306" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "307" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "308" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 8" + SID "320" + Ports [3, 2] + Position [880, 66, 950, 184] + ZOrder 94 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 8" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "321" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "322" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "323" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "324" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "325" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "326" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "327" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "328" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "329" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "330" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum 9" + SID "342" + Ports [3, 2] + Position [980, 66, 1050, 184] + ZOrder 95 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum 9" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "343" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "344" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "345" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "346" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "347" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "348" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "349" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "350" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "351" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "352" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + Branch { + DstBlock "Q\n" + DstPort 1 + } + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + } + } + Block { + BlockType SubSystem + Name "\nDelay+Sum16" + SID "408" + Ports [3, 2] + Position [1690, 66, 1760, 184] + ZOrder 125 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "\nDelay+Sum16" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "125" + Block { + BlockType Inport + Name "D\n" + SID "409" + Position [20, 20, 50, 35] + ZOrder 22 + BlockRotation 270 + BlockMirror on + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Prev\n" + SID "410" + Position [125, 303, 155, 317] + ZOrder 51 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Valor" + SID "411" + Position [125, 238, 155, 252] + ZOrder 23 + Port "3" + IconDisplay "Port number" + } + Block { + BlockType Reference + Name "AddSub" + SID "412" + Ports [2, 1] + Position [400, 266, 460, 324] + ZOrder 49 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/AddSub" + SourceType "Xilinx Adder/Subtracter Block" + mode "Addition" + use_carryin off + use_carryout off + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + use_behavioral_HDL off + hw_selection "Fabric" + pipelined off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "addsub" + sg_icon_stat "60,58,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[37.88 37.88 45" + ".88 37.88 45.88 45.88 45.88 37.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[29.88 29.88 37.88 37.88 29.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[21.88 21.88 29.88 29.88 21.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[13.88 13.88 21.88 13.88 21.88 21.88 13.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" + ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}','texmode'," + "'on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Cero" + SID "413" + Ports [0, 1] + Position [105, 187, 160, 213] + ZOrder 21 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16" + ".33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33" + " 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ]," + "[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 " + "0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" + ");port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Convert" + SID "414" + Ports [1, 1] + Position [175, 140, 220, 170] + ZOrder 25 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Convert" + SourceType "Xilinx Type Converter Block" + infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + float_type "Single" + exp_bits "8" + fraction_bits "24" + quantization "Truncate" + overflow "Wrap" + en off + latency "0" + dbl_ovrd off + pipeline off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,498,579" + block_type "convert" + sg_icon_stat "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23" + ".44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44" + " ],[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch(" + "[17.1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf" + "('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output'," + "1,'cast');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Delay" + SID "415" + Ports [1, 1] + Position [105, 17, 165, 73] + ZOrder 35 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Delay" + SourceType "Xilinx Delay Block" + infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." + rst off + infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" + en off + latency "1" + dbl_ovrd off + reg_retiming off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,404,331" + block_type "delay" + sg_icon_stat "60,56,1,1,white,blue,0,07b98262,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.9" + "1 ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44" + ".88 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88" + " ],[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch(" + "[20.2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfpr" + "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}'," + "'texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Mux" + SID "416" + Ports [3, 1] + Position [270, 130, 325, 270] + ZOrder 17 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Mux" + SourceType "Xilinx Bus Multiplexer Block" + inputs "2" + en off + latency "0" + precision "Full" + arith_type "Unsigned" + n_bits "16" + bin_pt "14" + quantization "Truncate" + overflow "Wrap" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "mux" + sg_icon_stat "55,140,3,1,white,blue,3,eb98d690,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 20 120 140 0 ],[0.77 0.82 " + "0.91 ]);\nplot([0 55 55 0 0 ],[0 20 120 140 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[77" + ".77 77.77 84.77 77.77 84.77 84.77 84.77 77.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[70.77 70.77" + " 77.77 77.77 70.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[63.77 63.77 70.77 70.77 63" + ".77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[56.77 56.77 63.77 56.77 63.77 63.77 56.77" + " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" + "or('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('i" + "nput',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Outport + Name "Q\n" + SID "417" + Position [365, 38, 395, 52] + ZOrder 36 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Val\n" + SID "418" + Position [515, 288, 545, 302] + ZOrder 24 + Port "2" + IconDisplay "Port number" + } + Line { + SrcBlock "Prev\n" + SrcPort 1 + DstBlock "AddSub" + DstPort 2 + } + Line { + SrcBlock "AddSub" + SrcPort 1 + DstBlock "Val\n" + DstPort 1 + } + Line { + SrcBlock "Mux" + SrcPort 1 + Points [33, 0; 0, 80] + DstBlock "AddSub" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + Points [59, 0] + Branch { + DstBlock "Q\n" + DstPort 1 + } + Branch { + Points [0, 76; -138, 0; 0, 34] + DstBlock "Convert" + DstPort 1 + } + } + Line { + SrcBlock "D\n" + SrcPort 1 + Points [0, 5] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Convert" + SrcPort 1 + DstBlock "Mux" + DstPort 1 + } + Line { + SrcBlock "Valor" + SrcPort 1 + DstBlock "Mux" + DstPort 3 + } + Line { + SrcBlock "Cero" + SrcPort 1 + DstBlock "Mux" + DstPort 2 + } + } + } + Block { + BlockType Reference + Name "Cmp\n" + SID "195" + Ports [0, 1] + Position [5000, 287, 5055, 313] + ZOrder 149 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Constant" + SourceType "Xilinx Constant Block Block" + const "0" + gui_display_data_type "Fixed-point" + arith_type "Signed (2's comp)" + n_bits "16" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + explicit_period off + period "1" + dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." + equ "P=C" + opselect "C" + inp2 "PCIN>>17" + opr "+" + inp1 "P" + carry "CIN" + dbl_ovrd off + has_advanced_control "0" + sggui_pos "20,26,328,435" + block_type "constant" + sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0" + ".82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ]" + ",[16.33 16.33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.3" + "3 13.33 16.33 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.3" + "3 13.33 10.33 ],[1 1 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 1" + "0.33 7.33 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " + "text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Relational" + SID "194" + Ports [2, 1] + Position [5085, 257, 5140, 313] + ZOrder 148 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Relational" + SourceType "Xilinx Arithmetic Relational Operator Block" + mode "a>b" + en off + latency "0" + dbl_ovrd off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,419,203" + block_type "relational" + sg_icon_stat "55,56,2,1,white,blue,0,b5131c97,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 56 56 0 ],[0.77 0" + ".82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ]" + ",[35.77 35.77 42.77 35.77 42.77 42.77 42.77 35.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[28.7" + "7 28.77 35.77 35.77 28.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[21.77 21.77 28.7" + "7 28.77 21.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[14.77 14.77 21.77 14.77 21.7" + "7 21.77 14.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i" + "con text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black" + "');port_label('output',1,'\\bfa > b','texmode','on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon" + " text');" + } + Block { + BlockType Outport + Name "Q" + SID "106" + Position [5255, 18, 5285, 32] + ZOrder 146 + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Regen" + SID "107" + Position [5255, 278, 5285, 292] + ZOrder 147 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Sum" + SID "395" + Position [5255, 148, 5285, 162] + ZOrder 150 + Port "3" + IconDisplay "Port number" + } + Line { + SrcBlock "D" + SrcPort 1 + DstBlock "\nDelay+Sum 1\n" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 1\n" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 2\n" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 1\n" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 2\n" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 2\n" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 3\n" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 2\n" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 3\n" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 4" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 5" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 4" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 5" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 5" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 6" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 5" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 6" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 3\n" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 4" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 3\n" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 4" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 7" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 8" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 7" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 8" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 8" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 9" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 8" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 9" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 10" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 11" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 10" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 11" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 11" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 12" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 11" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 12" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 9" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 10" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 9" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 10" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 6" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 7" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 6" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 7" + DstPort 2 + } + Line { + SrcBlock "K0\n" + SrcPort 1 + DstBlock "\nDelay+Sum 1\n" + DstPort 2 + } + Line { + SrcBlock "K1" + SrcPort 1 + Points [101, 0; 0, -49] + Branch { + Points [4722, 0; 0, -436] + DstBlock "\nDelay+Sum 48" + DstPort 3 + } + Branch { + Points [0, -436] + DstBlock "\nDelay+Sum 1\n" + DstPort 3 + } + } + Line { + SrcBlock "K2" + SrcPort 1 + Points [214, 0; 0, -115] + Branch { + Points [4505, 0; 0, -420] + DstBlock "\nDelay+Sum 47" + DstPort 3 + } + Branch { + Points [0, -420] + DstBlock "\nDelay+Sum 2\n" + DstPort 3 + } + } + Line { + SrcBlock "\nDelay+Sum 12" + SrcPort 1 + Points [18, 0; 0, -10] + DstBlock "\nDelay+Sum 13" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 12" + SrcPort 2 + Points [18, 0; 0, -30] + DstBlock "\nDelay+Sum 13" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 13" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 14" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 13" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 14" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 14" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 15" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 14" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 15" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 15" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum16" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 15" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum16" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum16" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 17" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum16" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 17" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 17" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 18" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 17" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 18" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 19" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 20" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 19" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 20" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 20" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 21" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 20" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 21" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 21" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 22" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 21" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 22" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 22" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 23" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 22" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 23" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 23" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 24" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 23" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 24" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 18" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 19" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 18" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 19" + DstPort 2 + } + Line { + SrcBlock "Cmp\n" + SrcPort 1 + DstBlock "Relational" + DstPort 2 + } + Line { + SrcBlock "Relational" + SrcPort 1 + DstBlock "Regen" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 48" + SrcPort 2 + Points [58, 0] + Branch { + Points [0, 115] + DstBlock "Relational" + DstPort 1 + } + Branch { + DstBlock "Sum" + DstPort 1 + } + } + Line { + SrcBlock "\nDelay+Sum 26" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 27" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 26" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 27" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 27" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 28" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 27" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 28" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 25" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 26" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 25" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 26" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 29" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 30" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 29" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 30" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 30" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 31" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 30" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 31" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 32" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 33" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 32" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 33" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 33" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 34" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 33" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 34" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 31" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 32" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 31" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 32" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 28" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 29" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 28" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 29" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 34" + SrcPort 1 + Points [18, 0; 0, -10] + DstBlock "\nDelay+Sum 35" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 34" + SrcPort 2 + Points [18, 0; 0, -30] + DstBlock "\nDelay+Sum 35" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 35" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 36" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 35" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 36" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 36" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 37" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 36" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 37" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 37" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 38" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 37" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 38" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 38" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 39" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 38" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 39" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 39" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 40" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 39" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 40" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 41" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 42" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 41" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 42" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 42" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 43" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 42" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 43" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 43" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 44" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 43" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 44" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 44" + SrcPort 1 + Points [5, 0] + DstBlock "\nDelay+Sum 45" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 44" + SrcPort 2 + Points [5, 0] + DstBlock "\nDelay+Sum 45" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 45" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 46" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 45" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 46" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 40" + SrcPort 1 + Points [9, 0; 0, -10] + DstBlock "\nDelay+Sum 41" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 40" + SrcPort 2 + Points [9, 0; 0, -30] + DstBlock "\nDelay+Sum 41" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 24" + SrcPort 1 + Points [18, 0; 0, -10] + Branch { + Points [0, -60] + DstBlock "Q" + DstPort 1 + } + Branch { + DstBlock "\nDelay+Sum 25" + DstPort 1 + } + } + Line { + SrcBlock "\nDelay+Sum 24" + SrcPort 2 + Points [18, 0; 0, -30] + DstBlock "\nDelay+Sum 25" + DstPort 2 + } + Line { + SrcBlock "K3" + SrcPort 1 + Points [314, 0; 0, -176] + Branch { + Points [4305, 0; 0, -404] + DstBlock "\nDelay+Sum 46" + DstPort 3 + } + Branch { + Points [0, -404] + DstBlock "\nDelay+Sum 3\n" + DstPort 3 + } + } + Line { + SrcBlock "K4" + SrcPort 1 + Points [414, 0; 0, -242] + Branch { + Points [4101, 0; 0, -388] + DstBlock "\nDelay+Sum 45" + DstPort 3 + } + Branch { + Points [0, -388] + DstBlock "\nDelay+Sum 4" + DstPort 3 + } + } + Line { + SrcBlock "K5" + SrcPort 1 + Points [510, 0; 0, -307] + Branch { + Points [3914, 0; 0, -373] + DstBlock "\nDelay+Sum 44" + DstPort 3 + } + Branch { + DstBlock "\nDelay+Sum 5" + DstPort 3 + } + } + Line { + SrcBlock "K6" + SrcPort 1 + Points [609, 0; 0, -373] + Branch { + Points [3715, 0; 0, -357] + DstBlock "\nDelay+Sum 43" + DstPort 3 + } + Branch { + Points [0, -357] + DstBlock "\nDelay+Sum 6" + DstPort 3 + } + } + Line { + SrcBlock "K7" + SrcPort 1 + Points [709, 0; 0, -433] + Branch { + Points [3515, 0; 0, -342] + DstBlock "\nDelay+Sum 42" + DstPort 3 + } + Branch { + Points [0, -342] + DstBlock "\nDelay+Sum 7" + DstPort 3 + } + } + Line { + SrcBlock "K8" + SrcPort 1 + Points [809, 0; 0, -494] + Branch { + Points [3315, 0; 0, -326] + DstBlock "\nDelay+Sum 41" + DstPort 3 + } + Branch { + Points [0, -326] + DstBlock "\nDelay+Sum 8" + DstPort 3 + } + } + Line { + SrcBlock "K9" + SrcPort 1 + Points [909, 0; 0, -559] + Branch { + Points [3115, 0; 0, -311] + DstBlock "\nDelay+Sum 40" + DstPort 3 + } + Branch { + Points [0, -311] + DstBlock "\nDelay+Sum 9" + DstPort 3 + } + } + Line { + SrcBlock "K13" + SrcPort 1 + Points [1313, 0; 0, -821] + Branch { + Points [2316, 0; 0, -249] + DstBlock "\nDelay+Sum 36" + DstPort 3 + } + Branch { + Points [0, -249] + DstBlock "\nDelay+Sum 13" + DstPort 3 + } + } + Line { + SrcBlock "K14" + SrcPort 1 + Points [1419, 0; 0, -879] + Branch { + Points [2104, 0; 0, -236] + DstBlock "\nDelay+Sum 35" + DstPort 3 + } + Branch { + Points [0, -236] + DstBlock "\nDelay+Sum 14" + DstPort 3 + } + } + Line { + SrcBlock "K15" + SrcPort 1 + Points [1519, 0; 0, -943] + Branch { + Points [1895, 0; 0, -222] + DstBlock "\nDelay+Sum 34" + DstPort 3 + } + Branch { + Points [0, -222] + DstBlock "\nDelay+Sum 15" + DstPort 3 + } + } + Line { + SrcBlock "K16" + SrcPort 1 + Points [1619, 0; 0, -1011] + Branch { + Points [1691, 0; 0, -204] + DstBlock "\nDelay+Sum 33" + DstPort 3 + } + Branch { + Points [0, -204] + DstBlock "\nDelay+Sum16" + DstPort 3 + } + } + Line { + SrcBlock "K17" + SrcPort 1 + Points [1715, 0; 0, -1075] + Branch { + Points [1504, 0; 0, -190] + DstBlock "\nDelay+Sum 32" + DstPort 3 + } + Branch { + DstBlock "\nDelay+Sum 17" + DstPort 3 + } + } + Line { + SrcBlock "K18" + SrcPort 1 + Points [1814, 0; 0, -1135] + Branch { + Points [1305, 0; 0, -175] + DstBlock "\nDelay+Sum 31" + DstPort 3 + } + Branch { + Points [0, -175] + DstBlock "\nDelay+Sum 18" + DstPort 3 + } + } + Line { + SrcBlock "K19" + SrcPort 1 + Points [1914, 0; 0, -1194] + Branch { + Points [1105, 0; 0, -161] + DstBlock "\nDelay+Sum 30" + DstPort 3 + } + Branch { + Points [0, -161] + DstBlock "\nDelay+Sum 19" + DstPort 3 + } + } + Line { + SrcBlock "K20" + SrcPort 1 + Points [2014, 0; 0, -1264] + Branch { + Points [905, 0; 0, -141] + DstBlock "\nDelay+Sum 29" + DstPort 3 + } + Branch { + Points [0, -141] + DstBlock "\nDelay+Sum 20" + DstPort 3 + } + } + Line { + SrcBlock "K21" + SrcPort 1 + Points [2114, 0; 0, -1330] + Branch { + Points [0, -125] + DstBlock "\nDelay+Sum 21" + DstPort 3 + } + Branch { + Points [705, 0; 0, -125] + DstBlock "\nDelay+Sum 28" + DstPort 3 + } + } + Line { + SrcBlock "K22" + SrcPort 1 + Points [2214, 0; 0, -1396] + Branch { + Points [0, -109] + DstBlock "\nDelay+Sum 22" + DstPort 3 + } + Branch { + Points [501, 0; 0, -109] + DstBlock "\nDelay+Sum 27" + DstPort 3 + } + } + Line { + SrcBlock "K24" + SrcPort 1 + Points [2409, 0; 0, -1522] + Branch { + Points [0, -78] + DstBlock "\nDelay+Sum 24" + DstPort 3 + } + Branch { + Points [109, 0; 0, -78] + DstBlock "\nDelay+Sum 25" + DstPort 3 + } + } + Line { + SrcBlock "K23" + SrcPort 1 + Points [2310, 0; 0, -1462] + Branch { + DstBlock "\nDelay+Sum 23" + DstPort 3 + } + Branch { + Points [314, 0; 0, -93] + DstBlock "\nDelay+Sum 26" + DstPort 3 + } + } + Line { + SrcBlock "K12" + SrcPort 1 + Points [1204, 0; 0, -753] + Branch { + Points [2525, 0; 0, -267] + DstBlock "\nDelay+Sum 37" + DstPort 3 + } + Branch { + Points [0, -267] + DstBlock "\nDelay+Sum 12" + DstPort 3 + } + } + Line { + SrcBlock "K11" + SrcPort 1 + Points [1105, 0; 0, -690] + Branch { + Points [2724, 0; 0, -280] + DstBlock "\nDelay+Sum 38" + DstPort 3 + } + Branch { + DstBlock "\nDelay+Sum 11" + DstPort 3 + } + } + Line { + SrcBlock "K10" + SrcPort 1 + Points [1009, 0; 0, -626] + Branch { + Points [2911, 0; 0, -294] + DstBlock "\nDelay+Sum 39" + DstPort 3 + } + Branch { + Points [0, -294] + DstBlock "\nDelay+Sum 10" + DstPort 3 + } + } + Line { + SrcBlock "\nDelay+Sum 46" + SrcPort 1 + Points [4, 0; 0, -10] + DstBlock "\nDelay+Sum 47" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 46" + SrcPort 2 + Points [4, 0; 0, -30] + DstBlock "\nDelay+Sum 47" + DstPort 2 + } + Line { + SrcBlock "\nDelay+Sum 47" + SrcPort 1 + Points [14, 0; 0, -10] + DstBlock "\nDelay+Sum 48" + DstPort 1 + } + Line { + SrcBlock "\nDelay+Sum 47" + SrcPort 2 + Points [14, 0; 0, -30] + DstBlock "\nDelay+Sum 48" + DstPort 2 + } + } + } + Block { + BlockType Reference + Name "Gateway In" + SID "24" + Ports [1, 1] + Position [90, 75, 155, 95] + ZOrder 24 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway In" + SourceType "Xilinx Gateway In Block" + infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed-point to Xilinx" + " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" + "orts." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "1" + bin_pt "0" + preci_type "Single" + exp_width "8" + frac_width "24" + quantization "Round (unbiased: +/- Inf)" + overflow "Saturate" + period "1" + dbl_ovrd off + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsADC off + ADCChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + inherit_from_input off + hdl_port "on" + has_advanced_control "0" + sggui_pos "20,26,404,607" + block_type "gatewayin" + sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" + " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" + "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" + ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" + "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" + "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" + "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" + "on text');" + } + Block { + BlockType Reference + Name "Senial Digitalizada\n" + SID "38" + Ports [1, 1] + Position [715, 145, 775, 165] + ZOrder 38 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway Out" + SourceType "Xilinx Gateway Out Block" + infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" + "link integer, single, double, or fixed-point.

Hardware notes: In hardware these blocks become top level out" + "put ports or are discarded, depending on how they are configured." + inherit_from_input off + hdl_port on + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsDAC off + DACChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "gatewayout" + sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" + " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" + "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" + ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" + "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" + "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" + " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" + "con text');" + Port { + PortNumber 1 + Name "Senial digital con ruido" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Block { + BlockType Outport + Name "Out1" + SID "860" + Position [865, 148, 895, 162] + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Out2" + SID "861" + Position [595, 393, 625, 407] + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Outport + Name "Out3" + SID "862" + Position [595, 638, 625, 652] + Port "3" + IconDisplay "Port number" + } + Line { + SrcBlock "Gateway In" + SrcPort 1 + DstBlock "Filtro" + DstPort 1 + } + Line { + SrcBlock "Constant2" + SrcPort 1 + DstBlock "Filtro" + DstPort 4 + } + Line { + SrcBlock "Constant4" + SrcPort 1 + DstBlock "Filtro" + DstPort 6 + } + Line { + SrcBlock "Constant1" + SrcPort 1 + DstBlock "Filtro" + DstPort 3 + } + Line { + SrcBlock "Constant5" + SrcPort 1 + DstBlock "Filtro" + DstPort 7 + } + Line { + SrcBlock "Constant3" + SrcPort 1 + DstBlock "Filtro" + DstPort 5 + } + Line { + SrcBlock "Constant6" + SrcPort 1 + DstBlock "Filtro" + DstPort 8 + } + Line { + SrcBlock "Constant16" + SrcPort 1 + DstBlock "Filtro" + DstPort 12 + } + Line { + SrcBlock "Constant14" + SrcPort 1 + DstBlock "Filtro" + DstPort 10 + } + Line { + SrcBlock "Constant24" + SrcPort 1 + DstBlock "Filtro" + DstPort 20 + } + Line { + SrcBlock "Constant21" + SrcPort 1 + DstBlock "Filtro" + DstPort 14 + } + Line { + SrcBlock "Constant23" + SrcPort 1 + DstBlock "Filtro" + DstPort 16 + } + Line { + SrcBlock "Constant15" + SrcPort 1 + DstBlock "Filtro" + DstPort 11 + } + Line { + SrcBlock "Constant12" + SrcPort 1 + DstBlock "Filtro" + DstPort 26 + } + Line { + SrcBlock "Constant10" + SrcPort 1 + DstBlock "Filtro" + DstPort 24 + } + Line { + SrcBlock "Constant9" + SrcPort 1 + DstBlock "Filtro" + DstPort 23 + } + Line { + SrcBlock "Constant7" + SrcPort 1 + DstBlock "Filtro" + DstPort 21 + } + Line { + SrcBlock "Constant13" + SrcPort 1 + DstBlock "Filtro" + DstPort 9 + } + Line { + SrcBlock "Constant17" + SrcPort 1 + DstBlock "Filtro" + DstPort 17 + } + Line { + SrcBlock "Constant19" + SrcPort 1 + DstBlock "Filtro" + DstPort 19 + } + Line { + SrcBlock "Constant22" + SrcPort 1 + DstBlock "Filtro" + DstPort 15 + } + Line { + SrcBlock "Constant20" + SrcPort 1 + DstBlock "Filtro" + DstPort 13 + } + Line { + SrcBlock "Constant8" + SrcPort 1 + DstBlock "Filtro" + DstPort 22 + } + Line { + SrcBlock "Constant18" + SrcPort 1 + DstBlock "Filtro" + DstPort 18 + } + Line { + SrcBlock "Constant11" + SrcPort 1 + DstBlock "Filtro" + DstPort 25 + } + Line { + SrcBlock "Filtro" + SrcPort 1 + DstBlock "Senial Digitalizada\n" + DstPort 1 + } + Line { + SrcBlock "In1" + SrcPort 1 + DstBlock "Gateway In" + DstPort 1 + } + Line { + Name "Senial digital con ruido" + Labels [0, 0] + SrcBlock "Senial Digitalizada\n" + SrcPort 1 + DstBlock "Out1" + DstPort 1 + } + Line { + SrcBlock "Filtro" + SrcPort 2 + DstBlock "Out2" + DstPort 1 + } + Line { + SrcBlock "Filtro" + SrcPort 3 + DstBlock "Out3" + DstPort 1 + } + Line { + SrcBlock "Constant25" + SrcPort 1 + DstBlock "Filtro" + DstPort 2 + } + } + } + Block { + BlockType Sum + Name "Sum" + SID "103" + Ports [2, 1] + Position [415, 255, 435, 275] + ZOrder 67 + ShowName off + IconShape "round" + Inputs "|++" + InputSameDT off + OutDataTypeStr "Inherit: Inherit via internal rule" + SaturateOnIntegerOverflow off + Port { + PortNumber 1 + Name "Senal con ruido" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Block { + BlockType DataTypeConversion + Name "Suma" + SID "826" + Position [1080, 568, 1155, 602] + ZOrder 111 + RndMeth "Floor" + SaturateOnIntegerOverflow off + } + Block { + BlockType Scope + Name "Valores intermedios" + SID "836" + Ports [3] + Position [1340, 509, 1465, 661] + ZOrder 122 + Floating off + Location [28, 58, 1355, 765] + Open off + NumInputPorts "3" + ZoomMode "xonly" + List { + ListType AxesTitles + axes1 "%" + axes2 "%" + axes3 "%" + } + List { + ListType ScopeGraphics + FigureColor "[0.5 0.5 0.5]" + AxesColor "[0 0 0]" + AxesTickColor "[1 1 1]" + LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" + LineStyles "-|-|-|-|-|-" + LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" + MarkerStyles "none|none|none|none|none|none" + } + ShowLegends on + LegendLocations "0.90863 0.91407 0.064431 0.031117\n0.87999 0.60431 0.093067 0.031117\n" + " 0.9124 0.29597 0.060663 0.031117" + YMin "-20000~-20000~-0.1" + YMax "20000~17500~1.1" + SaveName "ScopeData1" + LimitDataPoints off + } + Block { + BlockType Scope + Name "Valores intermedios2" + SID "855" + Ports [1] + Position [1080, 216, 1175, 334] + ZOrder 122 + Floating off + Location [28, 58, 1355, 765] + Open off + NumInputPorts "1" + ZoomMode "xonly" + List { + ListType AxesTitles + axes1 "%" + } + List { + ListType ScopeGraphics + FigureColor "[0.5 0.5 0.5]" + AxesColor "[0 0 0]" + AxesTickColor "[1 1 1]" + LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" + LineStyles "-|-|-|-|-|-" + LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" + MarkerStyles "none|none|none|none|none|none" + } + ShowLegends on + YMin "-0.1" + YMax "1.1" + SaveName "ScopeData3" + LimitDataPoints off + } + Block { + BlockType SubSystem + Name "error" + SID "841" + Ports [2, 1] + Position [1075, 637, 1195, 708] + ZOrder 133 + MinAlgLoopOccurrences off + PropExecContextOutsideSubsystem off + RTWSystemCode "Auto" + FunctionWithSeparateData off + Opaque off + RequestExecContextInheritance off + MaskHideContents off + System { + Name "error" + Location [1, 54, 1679, 1048] + Open off + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "100" + Block { + BlockType Inport + Name "Regenerada" + SID "843" + Position [1140, 90, 1170, 105] + ZOrder 134 + BlockRotation 270 + IconDisplay "Port number" + } + Block { + BlockType Inport + Name "Original\n" + SID "842" + Position [1025, 90, 1055, 105] + ZOrder 133 + BlockRotation 270 + Port "2" + IconDisplay "Port number" + } + Block { + BlockType Delay + Name "Delay" + SID "838" + Ports [1, 1] + Position [1090, 23, 1125, 57] + ZOrder 130 + InputPortMap "u0" + DelayLength "24" + UseCircularBuffer on + SampleTime "1" + } + Block { + BlockType Reference + Name "RMS" + SID "845" + Ports [1, 1] + Position [1290, 32, 1365, 68] + ZOrder 136 + LibraryVersion "1.532" + UserDataPersistent on + UserData "DataTag1" + SourceBlock "dspstat3/RMS" + SourceType "RMS" + run off + reset_popup "None" + InputProcessing "Columns as channels (frame based)" + directionMode "Each column" + dimension "1" + treatSBRowAsCol off + } + Block { + BlockType Sum + Name "Subtract" + SID "840" + Ports [2, 1] + Position [1215, 32, 1245, 63] + ZOrder 132 + Inputs "+-" + InputSameDT off + OutDataTypeStr "Inherit: Inherit via internal rule" + SaturateOnIntegerOverflow off + } + Block { + BlockType Outport + Name "Error" + SID "844" + Position [1400, 43, 1430, 57] + ZOrder 135 + IconDisplay "Port number" + } + Line { + SrcBlock "Regenerada" + SrcPort 1 + Points [0, -30] + DstBlock "Subtract" + DstPort 2 + } + Line { + SrcBlock "Original\n" + SrcPort 1 + Points [0, -45] + DstBlock "Delay" + DstPort 1 + } + Line { + SrcBlock "Delay" + SrcPort 1 + DstBlock "Subtract" + DstPort 1 + } + Line { + SrcBlock "Subtract" + SrcPort 1 + DstBlock "RMS" + DstPort 1 + } + Line { + SrcBlock "RMS" + SrcPort 1 + DstBlock "Error" + DstPort 1 + } + } + } + Line { + Name "Senal con ruido" + Labels [0, 0] + SrcBlock "Sum" + SrcPort 1 + Points [0, 1; 40, 0] + Branch { + Points [-2, 0; 0, -80] + DstBlock "Senial con Ruido" + DstPort 1 + } + Branch { + Labels [0, 0] + Points [-4, 0; 0, 130] + DstBlock "Subsystem" + DstPort 1 + } + } + Line { + SrcBlock "Subsystem" + SrcPort 2 + DstBlock "Senial Regenerada\n" + DstPort 1 + } + Line { + SrcBlock "Subsystem" + SrcPort 3 + DstBlock "Gateway Out1" + DstPort 1 + } + Line { + SrcBlock "Gaussian Noise\nGenerator" + SrcPort 1 + Points [90, 0] + DstBlock "Sum" + DstPort 2 + } + Line { + SrcBlock "Gateway Out1" + SrcPort 1 + Points [120, 0] + DstBlock "Suma" + DstPort 1 + } + Line { + SrcBlock "Suma" + SrcPort 1 + Points [1, 1; 24, 0] + Branch { + Points [0, -51] + DstBlock "Valores intermedios" + DstPort 1 + } + Branch { + Points [20, 0] + DstBlock "Mean Value" + DstPort 1 + } + } + Line { + Name "Original" + SrcBlock "Senal Original\n" + SrcPort 1 + Points [61, 0] + Branch { + Points [52, 0] + Branch { + Labels [-1, 0] + DstBlock "Aumento del Risetime" + DstPort 1 + } + Branch { + Points [0, -210] + DstBlock "Senial Original" + DstPort 1 + } + } + Branch { + Points [0, 425] + DstBlock "error" + DstPort 2 + } + } + Line { + Name "Regenerado" + Labels [0, 0] + SrcBlock "Senial Regenerada\n" + SrcPort 1 + Points [95, 0] + Branch { + Labels [1, 0] + Points [0, 260] + DstBlock "error" + DstPort 1 + } + Branch { + Labels [1, 0] + Points [0, -120] + DstBlock "Valores intermedios2" + DstPort 1 + } + } + Line { + SrcBlock "Aumento del Risetime" + SrcPort 1 + Points [53, 0] + Branch { + DstBlock "Sum" + DstPort 1 + } + Branch { + Points [0, -145] + DstBlock "Limitacion de BW" + DstPort 1 + } + } + Line { + SrcBlock "error" + SrcPort 1 + Points [83, 0; 0, -40] + DstBlock "Valores intermedios" + DstPort 3 + } + Line { + SrcBlock "Mean Value" + SrcPort 1 + DstBlock "Valores intermedios" + DstPort 2 + } + Line { + SrcBlock "Senial Original" + SrcPort 1 + DstBlock "Seniales\n1" + DstPort 1 + } + Line { + SrcBlock "Limitacion de BW" + SrcPort 1 + DstBlock "Seniales\n1" + DstPort 2 + } + Line { + SrcBlock "Senial con Ruido" + SrcPort 1 + DstBlock "Seniales\n1" + DstPort 3 + } + Line { + Labels [3, 0] + SrcBlock "Subsystem" + SrcPort 1 + Points [100, 0; 0, -196] + DstBlock "Digitalizada sola" + DstPort 1 + } + Annotation { + SID "868" + Position [1248, 774] + } + } +} +MatData { + NumRecords 2 + DataRecord { + Tag DataTag1 + Data " %)30 . B 8 ( @ % \" $ ! 0 % 0 $P $ 3 :&%S26" + "YH97)I=&5D3W!T:6]N #@ # & \" D\" !0 @ ! 0 $ @ ! $ " + } + DataRecord { + Tag DataTag0 + Data " %)30 . *\"\\ 8 ( @ % \" $ ! 0 % 0 !@ $ , 0 . . 8 ( ! " + " % \" $ ' 0 0 !P '1A7, !V86QU97, . < 8 ( 0 % \" $ ! 0 " + " . 0 8 ( ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . : 8 " + " ( 0 % \" $ ! 0 . . 8 ( ! % \" $ ' 0 " + " 0 !P '1A7-T96T #@ $@ & \" 0 !0 @ ! & $ " + " $ !@ !!8V-O&EL:6YX9F%M:6QY " + " <&%R= 7!E7W-G861V86YC960 <')O:E]T>7!E 4WEN=&A?9FEL95]S9V%D=F%N8V5D " + " 4WEN=&A?9FEL90 26UP;%]F:6QE7W-G861V86YC960 26UP;%]F:6QE " + " =&5S=&)E;F-H7W-G861V86YC960 =&5S=&)E;F-H 0 . . 8 ( ! % \" $ " + " ' 0 0 !P '1A7, !V86QU97, . < 8 ( 0 % \" $ ! 0 . 0 8 (" + " ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . : 8 ( 0 " + "% \" $ ! 0 . . 8 ( ! % \" $ ' 0 0 !P '1A7-T96T #@ $@ & \" 0 !0 @ ! & $ $ !@ !!8V" + "-O&EL:6YX9F%M:6QY " + "<&%R= 7!E7W-G861V86" + "YC960 <')O:E]T>7!E 4WEN=&A?9FEL95]S9V%D=F%N8V5D 4WEN=&A?9FEL90 " + " 26UP;%]F:6QE7W-G861V86YC960 26UP;%]F:6QE =&5S=&)E;F" + "-H7W-G861V86YC960 =&5S=&)E;F-H '0'); + + + --~ Constante y señales para el contador/divisor de frecuencia + constant MAX_COUNT : integer := CLK_FREQ / (SAMPLE_RATE); + signal counter : integer range 0 to MAX_COUNT - 1 := 0; + signal sample_clk_s : std_logic := '0'; + + + --~ Acumulador: + signal accum: integer range -MAX_RANGE to MAX_RANGE := 0; + signal i : integer range 0 to N-1 := 0; + signal i_rst : std_logic := '0'; + + + signal d_s : std_logic := '0'; + + type STATUS_T is ( wait_start, + clear, + sum_loop ); + + signal state, next_state : STATUS_T := wait_start; + + + + +begin + + d_o <= d_s; + + --~ Proceso sincrónico para cambio de estados + stateProcess: + process(clk_i) + begin + if ( rising_edge(clk_i) ) then + if ( reset = '1' ) then + state <= wait_start; + elsif ( enable = '1' ) then + state <= next_state; + end if; + end if; + end process; + + + --~ Tranciciones entre estados + stateTransition: + process(state,i,sample_clk_s) + begin + case state is + when wait_start => + i_rst <= '0'; + + if ( sample_clk_s = '1' ) then + next_state <= clear; + else + next_state <= wait_start; + end if; + + when clear => + i_rst <= '1'; + + next_state <= sum_loop; + + when sum_loop => + i_rst <= '0'; + + if ( i = N-1 ) then + next_state <= wait_start; + else + next_state <= sum_loop; + end if; + + end case; + end process; + + + + --~ Proceso sincrónico para contador interno y para acumulador + process(clk_i) + begin + if ( rising_edge(clk_i) ) then + + if ( enable = '1' ) then + if ( i_rst = '1' ) then + i <= 0; + accum <= 0; + + else + if ( i < N-1 ) then + i <= i + 1; + + if ( LSR(i) = '1' ) then + accum <= accum + K(i); + else + accum <= accum - K(i); + end if; + + end if; + end if; + end if; + end if; + end process; + + + + --~ Latch de la salida, + --~ Si. la salida va a estar latcheada + OutputLatch: + process(clk_i) + begin + if ( rising_edge(clk_i) ) then + if ( enable = '1' and sample_clk_s = '1' and i = N-1 ) then + if ( accum > 0 ) then + d_s <= '1'; + else + d_s <= '0'; + end if; + end if; + end if; + end process; + + + + --~ Registro de desplazamiento para el sobremuestreo + LSRprocess: + process ( clk_i ) + begin + if ( rising_edge(clk_i) ) then + if ( reset = '1' ) then + LSR <= ( others => '0' ); + + elsif ( enable = '1' and sample_clk_s = '1' ) then + LSR( 0 ) <= d_i; + LSR( N-1 downto 1 ) <= LSR( N-2 downto 0 ); + + end if; + end if; + end process; + + --~ Divisor de frecuencia, para obtener la frecuencia de sampleo + sample_clk_s <= '1' when counter = MAX_COUNT -1 else '0'; + + ClkDiv: + process( clk_i, reset ) + begin + if ( rising_edge(clk_i) ) then + if ( enable = '1' ) then + if ( counter = MAX_COUNT -1 ) then + counter <= 0; + else + counter <= counter + 1; + end if; + end if; + end if; + end process; + +end Behavioral; + diff --git a/FiltroHDL/FilterWrapper.vhd b/FiltroHDL/FilterWrapper.vhd new file mode 100644 index 0000000..a77034b --- /dev/null +++ b/FiltroHDL/FilterWrapper.vhd @@ -0,0 +1,67 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + + +use work.array_functions.all; + + + +entity FilterWrapper is + generic ( + CLK_FREQ : integer := 50e6; + DATA_RATE: integer := 250e3; + SIMETRIC : boolean := TRUE + ); + port ( + clk : in std_logic; + ce: in std_logic; + + d_i : in std_logic; + d_o : out std_logic + ); +end FilterWrapper; + +architecture Behavioral of FilterWrapper is + + component Filter is + + generic ( + CLK_FREQ : integer := 200e6; + DATA_RATE: integer := 250e3; + + + SIMETRIC : boolean := TRUE; + CONSTANTS : array_of_integers := (1,2,3,4,5,6,7,8,9,10) + + ); + port ( + clk_i : in std_logic; + enable: in std_logic; + reset: in std_logic; + + d_i : in std_logic; + d_o : out std_logic + ); + end component; + + +begin + + uut: Filter Generic Map( + CLK_FREQ => CLK_FREQ, + DATA_RATE => DATA_RATE, + SIMETRIC => SIMETRIC, + CONSTANTS => (-19,-18,-17,-16,-15,-14,-13,-12,-11,-10,-9,-8,-7,-6,-5,-4,-3,-2,-1,0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20) + ) + PORT MAP ( + clk_i => clk, + enable => ce, + reset => '0', + d_i => d_i, + d_o => d_o + ); + + + +end Behavioral; + diff --git a/FiltroHDL/Filter_tb.vhd b/FiltroHDL/Filter_tb.vhd new file mode 100644 index 0000000..6d12fc7 --- /dev/null +++ b/FiltroHDL/Filter_tb.vhd @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 14:47:13 03/03/2017 +-- Design Name: +-- Module Name: /home/epilef/Proyectos/FiltroHDL/FiltroHDL/Filter_tb.vhd +-- Project Name: FiltroHDL +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: Filter +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +-- Notes: +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; + +use work.array_functions.all; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY Filter_tb IS +END Filter_tb; + +ARCHITECTURE behavior OF Filter_tb IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT Filter + generic ( + CLK_FREQ : integer := 200e6; + DATA_RATE: integer := 250e3; + + + SIMETRIC : boolean := true; + CONSTANTS : array_of_integers := (-10,-10,-10,-10,10,10,10,10) + + ); + PORT( + clk_i : IN std_logic; + enable : IN std_logic; + reset : IN std_logic; + d_i : IN std_logic; + d_o : OUT std_logic + ); + END COMPONENT; + + + --Inputs + signal clk_i : std_logic := '0'; + signal enable : std_logic := '1'; + signal reset : std_logic := '0'; + signal d_i : std_logic := '0'; + + --Outputs + signal d_o : std_logic; + + -- Clock period definitions + constant clk_i_period : time := 5 ns; + constant data_period : time := 4 us; + + + constant data: std_logic_vector := x"EB901234ABCD5678EF09"; + +BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: Filter Generic Map( + CLK_FREQ => 200e6, + DATA_RATE => 250e3, + SIMETRIC => true, + CONSTANTS => ( 0, 1, 2, 3, 4, 5, 6, 7, 8, 9) + ) + PORT MAP ( + clk_i => clk_i, + enable => enable, + reset => reset, + d_i => d_i, + d_o => d_o + ); + + -- Clock process definitions + clk_i_process :process + begin + clk_i <= '0'; + wait for clk_i_period/2; + clk_i <= '1'; + wait for clk_i_period/2; + end process; + + + + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 100 ns; + + for i in 0 to data'length -1 loop + d_i <= data(i); + wait for data_period; + end loop; + -- insert stimulus here + + wait; + end process; + +END; diff --git a/FiltroHDL/FiltroHDL.gise b/FiltroHDL/FiltroHDL.gise new file mode 100644 index 0000000..6e08ce0 --- /dev/null +++ b/FiltroHDL/FiltroHDL.gise @@ -0,0 +1,31 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + diff --git a/FiltroHDL/FiltroHDL.xise b/FiltroHDL/FiltroHDL.xise new file mode 100644 index 0000000..baad6e1 --- /dev/null +++ b/FiltroHDL/FiltroHDL.xise @@ -0,0 +1,373 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/FiltroHDL/array_functions.vhd b/FiltroHDL/array_functions.vhd new file mode 100644 index 0000000..e704b2e --- /dev/null +++ b/FiltroHDL/array_functions.vhd @@ -0,0 +1,54 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +package array_functions is + constant MAX_RANGE : integer := 255; + + type array_of_integers is array(integer range <>) of integer range -MAX_RANGE to MAX_RANGE; + + function array_sum ( K : in array_of_integers ) + return integer; + function reorder_array ( K : in array_of_integers ; simetric : in boolean ) + return array_of_integers; +end package; + +package body array_functions is + + function array_sum ( K : in array_of_integers ) return integer is + variable sum : integer := 0; + begin + for i in 0 to K'length-1 loop + sum := sum + K(K'left + i); + end loop; + + return sum; + end array_sum; + + + function reorder_array ( K : in array_of_integers ; simetric : in boolean ) + return array_of_integers is + constant M : integer := 1 + 2*((K'length)-1); + constant N : integer := K'length; + variable arr_s : array_of_integers( 0 to M-1 ); + variable arr_a : array_of_integers( 0 to N-1 ); + + begin + if ( simetric ) then + for i in 0 to N-1 loop + arr_s( i ) := K( K'left + i ); + end loop; + + for i in 1 to N-1 loop + arr_s( n + i - 1 ) := K( K'right - i ); + end loop; + return arr_s; + else + for i in 0 to N-1 loop + arr_a( i ) := K( K'left + i ); + end loop; + return arr_a; + end if; + end reorder_array; + +end array_functions; diff --git a/FiltroHDL/iseconfig/FiltroHDL.projectmgr b/FiltroHDL/iseconfig/FiltroHDL.projectmgr new file mode 100644 index 0000000..5a32b88 --- /dev/null +++ b/FiltroHDL/iseconfig/FiltroHDL.projectmgr @@ -0,0 +1,138 @@ + + + + + + + + + 2 + + + Filter - Behavioral (/home/epilef/Proyectos/FiltroHDL/FiltroHDL/Filter.vhd) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000100000000020000000000000000000000000200000064ffffffff000000810000000300000002000001000000000100000003000000000000000100000003 + true + Filter - Behavioral (/home/epilef/Proyectos/FiltroHDL/FiltroHDL/Filter.vhd) + + + + 1 + Design Utilities/Compile HDL Simulation Libraries + + + Design Utilities + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000 + false + Design Utilities + + + + 1 + + + Filter.vhd + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000005a4000000040101000100000000000000000000000064ffffffff0000008100000000000000040000009f0000000100000000000000280000000100000000000000790000000100000000000004640000000100000000 + false + Filter.vhd + + + + 1 + work + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000117000000010001000100000000000000000000000064ffffffff000000810000000000000001000001170000000100000000 + false + work + + + + 1 + Configure Target Device + Design Utilities + Design Utilities/Compile HDL Simulation Libraries + Implement Design/Map + Implement Design/Place & Route/Back-annotate Pin Locations + Implement Design/Place & Route/Generate IBIS Model + Implement Design/Place & Route/Generate Post-Place & Route Static Timing + Implement Design/Translate + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e10000000100000000 + false + + + 000000ff00000000000000020000013f0000012001000000060100000002 + Implementation + + + 2 + /Filter_tb - behavior |home|epilef|Proyectos|FiltroHDL|FiltroHDL|Filter_tb.vhd + + + Unassigned User Library Modules + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000010d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000010d0000000100000003000000000000000100000003 + false + Unassigned User Library Modules + + + + 1 + Design Utilities/Compile HDL Simulation Libraries + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000 + false + + + + + 1 + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000 + false + + + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f1000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f10000000100000000 + false + + + diff --git a/FiltroTestM/FilterWrapper_config.m b/FiltroTestM/FilterWrapper_config.m new file mode 100644 index 0000000..5badc8e --- /dev/null +++ b/FiltroTestM/FilterWrapper_config.m @@ -0,0 +1,104 @@ + +function FilterWrapper_config(this_block) + + % Revision History: + % + % 06-Mar-2017 (15:49 hours): + % Original code was machine generated by Xilinx's System Generator after parsing + % /home/epilef/Proyectos/FiltroHDL/FiltroHDL/FilterWrapper.vhd + % + % + + this_block.setTopLevelLanguage('VHDL'); + + this_block.setEntityName('FilterWrapper'); + + % System Generator has to assume that your entity has a combinational feed through; + % if it doesn't, then comment out the following line: + this_block.tagAsCombinational; + + this_block.addSimulinkInport('d_i'); + + this_block.addSimulinkOutport('d_o'); + + d_o_port = this_block.port('d_o'); + d_o_port.setType('UFix_1_0'); + d_o_port.useHDLVector(false); + + % ----------------------------- + if (this_block.inputTypesKnown) + % do input type checking, dynamic output type and generic setup in this code block. + + if (this_block.port('d_i').width ~= 1); + this_block.setError('Input data type for port "d_i" must have width=1.'); + end + + this_block.port('d_i').useHDLVector(false); + + end % if(inputTypesKnown) + % ----------------------------- + + % ----------------------------- + if (this_block.inputRatesKnown) + setup_as_single_rate(this_block,'clk','ce') + end % if(inputRatesKnown) + % ----------------------------- + + % (!) Set the inout port rate to be the same as the first input + % rate. Change the following code if this is untrue. + uniqueInputRates = unique(this_block.getInputRates); + + % (!) Custimize the following generic settings as appropriate. If any settings depend + % on input types, make the settings in the "inputTypesKnown" code block. + % The addGeneric function takes 3 parameters, generic name, type and constant value. + % Supported types are boolean, real, integer and string. + this_block.addGeneric('CLK_FREQ','integer','1000'); + this_block.addGeneric('DATA_RATE','integer','1'); + this_block.addGeneric('SIMETRIC','boolean','TRUE'); + + % Add addtional source files as needed. + % |------------- + % | Add files in the order in which they should be compiled. + % | If two files "a.vhd" and "b.vhd" contain the entities + % | entity_a and entity_b, and entity_a contains a + % | component of type entity_b, the correct sequence of + % | addFile() calls would be: + % | this_block.addFile('b.vhd'); + % | this_block.addFile('a.vhd'); + % |------------- + + % this_block.addFile(''); + % this_block.addFile(''); + this_block.addFile('../FiltroHDL/array_functions.vhd'); + this_block.addFile('../FiltroHDL/Filter.vhd'); + this_block.addFile('../FiltroHDL/FilterWrapper.vhd'); + +return; + + +% ------------------------------------------------------------ + +function setup_as_single_rate(block,clkname,cename) + inputRates = block.inputRates; + uniqueInputRates = unique(inputRates); + if (length(uniqueInputRates)==1 & uniqueInputRates(1)==Inf) + block.addError('The inputs to this block cannot all be constant.'); + return; + end + if (uniqueInputRates(end) == Inf) + hasConstantInput = true; + uniqueInputRates = uniqueInputRates(1:end-1); + end + if (length(uniqueInputRates) ~= 1) + block.addError('The inputs to this block must run at a single rate.'); + return; + end + theInputRate = uniqueInputRates(1); + for i = 1:block.numSimulinkOutports + block.outport(i).setRate(theInputRate); + end + block.addClkCEPair(clkname,cename,theInputRate); + return; + +% ------------------------------------------------------------ + diff --git a/FiltroTestM/TestModel.mdl b/FiltroTestM/TestModel.mdl new file mode 100644 index 0000000..6b2f087 --- /dev/null +++ b/FiltroTestM/TestModel.mdl @@ -0,0 +1,1348 @@ +Model { + Name "TestModel" + Version 8.5 + MdlSubVersion 0 + SavedCharacterEncoding "US-ASCII" + GraphicalInterface { + NumRootInports 0 + NumRootOutports 0 + ParameterArgumentNames "" + ComputedModelVersion "1.40" + NumModelReferences 0 + NumTestPointedSignals 0 + } + ScopeRefreshTime 0.035000 + OverrideScopeRefreshTime on + DisableAllScopes on + DataTypeOverride "UseLocalSettings" + DataTypeOverrideAppliesTo "AllNumericTypes" + MinMaxOverflowLogging "UseLocalSettings" + MinMaxOverflowArchiveMode "Overwrite" + FPTRunName "Run 1" + MaxMDLFileLineLength 120 + Object { + $PropName "BdWindowsInfo" + $ObjectID 1 + $ClassName "Simulink.BDWindowsInfo" + Object { + $PropName "WindowsInfo" + $ObjectID 2 + $ClassName "Simulink.WindowInfo" + IsActive [1] + Location [0.0, 26.0, 1215.0, 795.0] + Object { + $PropName "ModelBrowserInfo" + $ObjectID 3 + $ClassName "Simulink.ModelBrowserInfo" + Visible [0] + DockPosition "Left" + Width [50] + Height [50] + Filter [9] + } + Object { + $PropName "ExplorerBarInfo" + $ObjectID 4 + $ClassName "Simulink.ExplorerBarInfo" + Visible [1] + } + Object { + $PropName "EditorsInfo" + $ObjectID 5 + $ClassName "Simulink.EditorInfo" + IsActive [1] + ViewObjType "SimulinkTopLevel" + LoadSaveID "0" + Extents [1167.0, 630.0] + ZoomFactor [1.0] + Offset [61.965780646440635, -33.46793720370988] + } + } + } + Created "Mon Mar 06 15:39:53 2017" + Creator "epilef" + UpdateHistory "UpdateHistoryNever" + ModifiedByFormat "%" + LastModifiedBy "epilef" + ModifiedDateFormat "%" + LastModifiedDate "Mon Mar 06 20:22:18 2017" + RTWModifiedTimeStamp 410732514 + ModelVersionFormat "1.%" + ConfigurationManager "none" + SampleTimeColors off + SampleTimeAnnotations off + LibraryLinkDisplay "disabled" + WideLines off + ShowLineDimensions off + ShowPortDataTypes off + ShowDesignRanges off + ShowLoopsOnError on + IgnoreBidirectionalLines off + ShowStorageClass off + ShowTestPointIcons on + ShowSignalResolutionIcons on + ShowViewerIcons on + SortedOrder off + ExecutionContextIcon off + ShowLinearizationAnnotations on + ShowMarkup on + BlockNameDataTip off + BlockParametersDataTip off + BlockDescriptionStringDataTip off + ToolBar on + StatusBar on + BrowserShowLibraryLinks off + BrowserLookUnderMasks off + SimulationMode "normal" + PauseTimes "5" + NumberOfSteps 1 + SnapshotBufferSize 10 + SnapshotInterval 10 + NumberOfLastSnapshots 0 + LinearizationMsg "none" + Profile off + ParamWorkspaceSource "MATLABWorkspace" + AccelSystemTargetFile "accel.tlc" + AccelTemplateMakefile "accel_default_tmf" + AccelMakeCommand "make_rtw" + TryForcingSFcnDF off + Object { + $PropName "DataLoggingOverride" + $ObjectID 6 + $ClassName "Simulink.SimulationData.ModelLoggingInfo" + model_ "TestModel" + overrideMode_ [0.0] + Array { + Type "Cell" + Dimension 1 + Cell "TestModel" + PropName "logAsSpecifiedByModels_" + } + Array { + Type "Cell" + Dimension 1 + Cell [] + PropName "logAsSpecifiedByModelsSSIDs_" + } + } + RecordCoverage off + CovPath "/" + CovSaveName "covdata" + CovMetricSettings "dw" + CovNameIncrementing off + CovHtmlReporting on + CovForceBlockReductionOff on + CovEnableCumulative on + covSaveCumulativeToWorkspaceVar on + CovSaveSingleToWorkspaceVar on + CovCumulativeVarName "covCumulativeData" + CovCumulativeReport off + CovReportOnPause on + CovModelRefEnable "Off" + CovExternalEMLEnable off + CovSFcnEnable on + CovBoundaryAbsTol 0.000010 + CovBoundaryRelTol 0.010000 + CovUseTimeInterval off + CovStartTime 0 + CovStopTime 0 + ExtModeBatchMode off + ExtModeEnableFloating on + ExtModeTrigType "manual" + ExtModeTrigMode "normal" + ExtModeTrigPort "1" + ExtModeTrigElement "any" + ExtModeTrigDuration 1000 + ExtModeTrigDurationFloating "auto" + ExtModeTrigHoldOff 0 + ExtModeTrigDelay 0 + ExtModeTrigDirection "rising" + ExtModeTrigLevel 0 + ExtModeArchiveMode "off" + ExtModeAutoIncOneShot off + ExtModeIncDirWhenArm off + ExtModeAddSuffixToVar off + ExtModeWriteAllDataToWs off + ExtModeArmWhenConnect on + ExtModeSkipDownloadWhenConnect off + ExtModeLogAll on + ExtModeAutoUpdateStatusClock on + ShowModelReferenceBlockVersion off + ShowModelReferenceBlockIO off + Array { + Type "Handle" + Dimension 1 + Simulink.ConfigSet { + $ObjectID 7 + Version "1.15.0" + Array { + Type "Handle" + Dimension 9 + Simulink.SolverCC { + $ObjectID 8 + Version "1.15.0" + StartTime "0.0" + StopTime "5000" + AbsTol "auto" + FixedStep "auto" + InitialStep "auto" + MaxNumMinSteps "-1" + MaxOrder 5 + ZcThreshold "auto" + ConsecutiveZCsStepRelTol "10*128*eps" + MaxConsecutiveZCs "1000" + ExtrapolationOrder 4 + NumberNewtonIterations 1 + MaxStep "auto" + MinStep "auto" + MaxConsecutiveMinStep "1" + RelTol "1e-3" + SolverMode "Auto" + EnableConcurrentExecution off + ConcurrentTasks off + Solver "ode45" + SolverName "ode45" + SolverJacobianMethodControl "auto" + ShapePreserveControl "DisableAll" + ZeroCrossControl "UseLocalSettings" + ZeroCrossAlgorithm "Nonadaptive" + AlgebraicLoopSolver "TrustRegion" + SolverResetMethod "Fast" + PositivePriorityOrder off + AutoInsertRateTranBlk off + SampleTimeConstraint "Unconstrained" + InsertRTBMode "Whenever possible" + } + Simulink.DataIOCC { + $ObjectID 9 + Version "1.15.0" + Decimation "1" + ExternalInput "[t, u]" + FinalStateName "xFinal" + InitialState "xInitial" + LimitDataPoints on + MaxDataPoints "1000" + LoadExternalInput off + LoadInitialState off + SaveFinalState off + SaveCompleteFinalSimState off + SaveFormat "Array" + SignalLoggingSaveFormat "Dataset" + SaveOutput on + SaveState off + SignalLogging on + DSMLogging on + InspectSignalLogs off + VisualizeSimOutput on + SaveTime on + ReturnWorkspaceOutputs off + StateSaveName "xout" + TimeSaveName "tout" + OutputSaveName "yout" + SignalLoggingName "logsout" + DSMLoggingName "dsmout" + OutputOption "RefineOutputTimes" + OutputTimes "[]" + ReturnWorkspaceOutputsName "out" + Refine "1" + } + Simulink.OptimizationCC { + $ObjectID 10 + Version "1.15.0" + Array { + Type "Cell" + Dimension 8 + Cell "BooleansAsBitfields" + Cell "PassReuseOutputArgsAs" + Cell "PassReuseOutputArgsThreshold" + Cell "ZeroExternalMemoryAtStartup" + Cell "ZeroInternalMemoryAtStartup" + Cell "OptimizeModelRefInitCode" + Cell "NoFixptDivByZeroProtection" + Cell "UseSpecifiedMinMax" + PropName "DisabledProps" + } + BlockReduction on + BooleanDataType on + ConditionallyExecuteInputs on + InlineParams off + UseDivisionForNetSlopeComputation "off" + UseFloatMulNetSlope off + DefaultUnderspecifiedDataType "double" + UseSpecifiedMinMax off + InlineInvariantSignals off + OptimizeBlockIOStorage on + BufferReuse on + EnhancedBackFolding off + CachingGlobalReferences off + GlobalBufferReuse on + StrengthReduction off + ExpressionFolding on + BooleansAsBitfields off + BitfieldContainerType "uint_T" + EnableMemcpy on + MemcpyThreshold 64 + PassReuseOutputArgsAs "Structure reference" + PassReuseOutputArgsThreshold 12 + ExpressionDepthLimit 128 + LocalBlockOutputs on + RollThreshold 5 + StateBitsets off + DataBitsets off + ActiveStateOutputEnumStorageType "Native Integer" + ZeroExternalMemoryAtStartup on + ZeroInternalMemoryAtStartup on + InitFltsAndDblsToZero off + NoFixptDivByZeroProtection off + EfficientFloat2IntCast off + EfficientMapNaN2IntZero on + OptimizeModelRefInitCode off + LifeSpan "inf" + MaxStackSize "Inherit from target" + BufferReusableBoundary on + SimCompilerOptimization "off" + AccelVerboseBuild off + } + Simulink.DebuggingCC { + $ObjectID 11 + Version "1.15.0" + RTPrefix "error" + ConsistencyChecking "none" + ArrayBoundsChecking "none" + SignalInfNanChecking "none" + SignalRangeChecking "none" + ReadBeforeWriteMsg "UseLocalSettings" + WriteAfterWriteMsg "UseLocalSettings" + WriteAfterReadMsg "UseLocalSettings" + AlgebraicLoopMsg "warning" + ArtificialAlgebraicLoopMsg "warning" + SaveWithDisabledLinksMsg "warning" + SaveWithParameterizedLinksMsg "warning" + CheckSSInitialOutputMsg on + UnderspecifiedInitializationDetection "Simplified" + MergeDetectMultiDrivingBlocksExec "error" + CheckExecutionContextPreStartOutputMsg off + CheckExecutionContextRuntimeOutputMsg off + SignalResolutionControl "UseLocalSettings" + BlockPriorityViolationMsg "warning" + MinStepSizeMsg "warning" + TimeAdjustmentMsg "none" + MaxConsecutiveZCsMsg "error" + MaskedZcDiagnostic "warning" + IgnoredZcDiagnostic "warning" + SolverPrmCheckMsg "none" + InheritedTsInSrcMsg "warning" + DiscreteInheritContinuousMsg "warning" + MultiTaskDSMMsg "error" + MultiTaskCondExecSysMsg "error" + MultiTaskRateTransMsg "error" + SingleTaskRateTransMsg "none" + TasksWithSamePriorityMsg "warning" + SigSpecEnsureSampleTimeMsg "warning" + CheckMatrixSingularityMsg "none" + IntegerOverflowMsg "warning" + Int32ToFloatConvMsg "warning" + ParameterDowncastMsg "error" + ParameterOverflowMsg "error" + ParameterUnderflowMsg "none" + ParameterPrecisionLossMsg "warning" + ParameterTunabilityLossMsg "warning" + FixptConstUnderflowMsg "none" + FixptConstOverflowMsg "none" + FixptConstPrecisionLossMsg "none" + UnderSpecifiedDataTypeMsg "none" + UnnecessaryDatatypeConvMsg "none" + VectorMatrixConversionMsg "none" + InvalidFcnCallConnMsg "error" + FcnCallInpInsideContextMsg "EnableAllAsError" + SignalLabelMismatchMsg "none" + UnconnectedInputMsg "warning" + UnconnectedOutputMsg "warning" + UnconnectedLineMsg "warning" + SFcnCompatibilityMsg "none" + FrameProcessingCompatibilityMsg "error" + UniqueDataStoreMsg "none" + BusObjectLabelMismatch "warning" + RootOutportRequireBusObject "warning" + AssertControl "UseLocalSettings" + ModelReferenceIOMsg "none" + ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" + ModelReferenceVersionMismatchMessage "none" + ModelReferenceIOMismatchMessage "none" + UnknownTsInhSupMsg "warning" + ModelReferenceDataLoggingMessage "warning" + ModelReferenceSymbolNameMessage "warning" + ModelReferenceExtraNoncontSigs "error" + StateNameClashWarn "none" + SimStateInterfaceChecksumMismatchMsg "warning" + SimStateOlderReleaseMsg "error" + InitInArrayFormatMsg "warning" + StrictBusMsg "ErrorLevel1" + BusNameAdapt "WarnAndRepair" + NonBusSignalsTreatedAsBus "none" + BlockIODiagnostic "none" + SFUnusedDataAndEventsDiag "warning" + SFUnexpectedBacktrackingDiag "warning" + SFInvalidInputDataAccessInChartInitDiag "warning" + SFNoUnconditionalDefaultTransitionDiag "warning" + SFTransitionOutsideNaturalParentDiag "warning" + SFUnconditionalTransitionShadowingDiag "warning" + SFUndirectedBroadcastEventsDiag "warning" + SFTransitionActionBeforeConditionDiag "warning" + SFOutputUsedAsStateInMooreChartDiag "error" + IntegerSaturationMsg "warning" + } + Simulink.HardwareCC { + $ObjectID 12 + Version "1.15.0" + ProdBitPerChar 8 + ProdBitPerShort 16 + ProdBitPerInt 32 + ProdBitPerLong 32 + ProdBitPerLongLong 64 + ProdBitPerFloat 32 + ProdBitPerDouble 64 + ProdBitPerPointer 32 + ProdLargestAtomicInteger "Char" + ProdLargestAtomicFloat "None" + ProdIntDivRoundTo "Undefined" + ProdEndianess "Unspecified" + ProdWordSize 32 + ProdShiftRightIntArith on + ProdLongLongMode off + ProdHWDeviceType "32-bit Generic" + TargetBitPerChar 8 + TargetBitPerShort 16 + TargetBitPerInt 32 + TargetBitPerLong 32 + TargetBitPerLongLong 64 + TargetBitPerFloat 32 + TargetBitPerDouble 64 + TargetBitPerPointer 32 + TargetLargestAtomicInteger "Char" + TargetLargestAtomicFloat "None" + TargetShiftRightIntArith on + TargetLongLongMode off + TargetIntDivRoundTo "Undefined" + TargetEndianess "Unspecified" + TargetWordSize 32 + TargetPreprocMaxBitsSint 32 + TargetPreprocMaxBitsUint 32 + TargetHWDeviceType "Specified" + TargetUnknown off + ProdEqTarget on + } + Simulink.ModelReferenceCC { + $ObjectID 13 + Version "1.15.0" + UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" + CheckModelReferenceTargetMessage "error" + EnableParallelModelReferenceBuilds off + ParallelModelReferenceErrorOnInvalidPool on + ParallelModelReferenceMATLABWorkerInit "None" + ModelReferenceNumInstancesAllowed "Multi" + PropagateVarSize "Infer from blocks in model" + ModelReferencePassRootInputsByReference on + ModelReferenceMinAlgLoopOccurrences off + PropagateSignalLabelsOutOfModel off + SupportModelReferenceSimTargetCustomCode off + } + Simulink.SFSimCC { + $ObjectID 14 + Version "1.15.0" + SFSimOverflowDetection on + SFSimEcho on + SimCtrlC on + SimIntegrity on + SimUseLocalCustomCode off + SimParseCustomCode on + SimBuildMode "sf_incremental_build" + SimGenImportedTypeDefs off + } + Simulink.RTWCC { + $BackupClass "Simulink.RTWCC" + $ObjectID 15 + Version "1.15.0" + Array { + Type "Cell" + Dimension 16 + Cell "IncludeHyperlinkInReport" + Cell "GenerateTraceInfo" + Cell "GenerateTraceReport" + Cell "GenerateTraceReportSl" + Cell "GenerateTraceReportSf" + Cell "GenerateTraceReportEml" + Cell "PortableWordSizes" + Cell "GenerateWebview" + Cell "GenerateCodeMetricsReport" + Cell "GenerateCodeReplacementReport" + Cell "GenerateMissedCodeReplacementReport" + Cell "GenerateErtSFunction" + Cell "CreateSILPILBlock" + Cell "CodeExecutionProfiling" + Cell "CodeProfilingSaveOptions" + Cell "CodeProfilingInstrumentation" + PropName "DisabledProps" + } + SystemTargetFile "grt.tlc" + TLCOptions "" + GenCodeOnly off + MakeCommand "make_rtw" + GenerateMakefile on + PackageGeneratedCodeAndArtifacts off + PackageName "" + TemplateMakefile "grt_default_tmf" + PostCodeGenCommand "" + Description "" + GenerateReport off + SaveLog off + RTWVerbose on + RetainRTWFile off + ProfileTLC off + TLCDebug off + TLCCoverage off + TLCAssert off + RTWUseLocalCustomCode off + RTWUseSimCustomCode off + CustomSourceCode "" + CustomHeaderCode "" + CustomInclude "" + CustomSource "" + CustomLibrary "" + CustomInitializer "" + CustomTerminator "" + Toolchain "Automatically locate an installed toolchain" + BuildConfiguration "Faster Builds" + IncludeHyperlinkInReport off + LaunchReport off + PortableWordSizes off + CreateSILPILBlock "None" + CodeExecutionProfiling off + CodeExecutionProfileVariable "executionProfile" + CodeProfilingSaveOptions "SummaryOnly" + CodeProfilingInstrumentation off + SILDebugging off + TargetLang "C" + IncludeBusHierarchyInRTWFileBlockHierarchyMap off + GenerateTraceInfo off + GenerateTraceReport off + GenerateTraceReportSl off + GenerateTraceReportSf off + GenerateTraceReportEml off + GenerateWebview off + GenerateCodeMetricsReport off + GenerateCodeReplacementReport off + GenerateMissedCodeReplacementReport off + RTWCompilerOptimization "off" + RTWCustomCompilerOptimizations "" + CheckMdlBeforeBuild "Off" + SharedConstantsCachingThreshold 1024 + Array { + Type "Handle" + Dimension 2 + Simulink.CodeAppCC { + $ObjectID 16 + Version "1.15.0" + Array { + Type "Cell" + Dimension 24 + Cell "IgnoreCustomStorageClasses" + Cell "ParameterTuningSideEffectCode" + Cell "IgnoreTestpoints" + Cell "InsertBlockDesc" + Cell "InsertPolySpaceComments" + Cell "SFDataObjDesc" + Cell "MATLABFcnDesc" + Cell "SimulinkDataObjDesc" + Cell "DefineNamingRule" + Cell "SignalNamingRule" + Cell "ParamNamingRule" + Cell "InternalIdentifier" + Cell "InlinedPrmAccess" + Cell "CustomSymbolStr" + Cell "CustomSymbolStrGlobalVar" + Cell "CustomSymbolStrType" + Cell "CustomSymbolStrField" + Cell "CustomSymbolStrFcn" + Cell "CustomSymbolStrFcnArg" + Cell "CustomSymbolStrBlkIO" + Cell "CustomSymbolStrTmpVar" + Cell "CustomSymbolStrMacro" + Cell "CustomSymbolStrUtil" + Cell "ReqsInCode" + PropName "DisabledProps" + } + ForceParamTrailComments off + GenerateComments on + CommentStyle "Auto" + IgnoreCustomStorageClasses on + IgnoreTestpoints off + IncHierarchyInIds off + MaxIdLength 31 + PreserveName off + PreserveNameWithParent off + ShowEliminatedStatement off + OperatorAnnotations off + IncAutoGenComments off + SimulinkDataObjDesc off + SFDataObjDesc off + MATLABFcnDesc off + IncDataTypeInIds off + MangleLength 1 + CustomSymbolStrGlobalVar "$R$N$M" + CustomSymbolStrType "$N$R$M_T" + CustomSymbolStrField "$N$M" + CustomSymbolStrFcn "$R$N$M$F" + CustomSymbolStrFcnArg "rt$I$N$M" + CustomSymbolStrBlkIO "rtb_$N$M" + CustomSymbolStrTmpVar "$N$M" + CustomSymbolStrMacro "$R$N$M" + CustomSymbolStrUtil "$N$C" + DefineNamingRule "None" + ParamNamingRule "None" + SignalNamingRule "None" + InsertBlockDesc off + InsertPolySpaceComments off + SimulinkBlockComments on + MATLABSourceComments off + EnableCustomComments off + InternalIdentifier "Shortened" + InlinedPrmAccess "Literals" + ReqsInCode off + UseSimReservedNames off + } + Simulink.GRTTargetCC { + $BackupClass "Simulink.TargetCC" + $ObjectID 17 + Version "1.15.0" + Array { + Type "Cell" + Dimension 13 + Cell "GeneratePreprocessorConditionals" + Cell "IncludeMdlTerminateFcn" + Cell "SuppressErrorStatus" + Cell "ERTCustomFileBanners" + Cell "GenerateSampleERTMain" + Cell "GenerateTestInterfaces" + Cell "ModelStepFunctionPrototypeControlCompliant" + Cell "GenerateAllocFcn" + Cell "PurelyIntegerCode" + Cell "SupportComplex" + Cell "SupportAbsoluteTime" + Cell "SupportContinuousTime" + Cell "SupportNonInlinedSFcns" + PropName "DisabledProps" + } + TargetFcnLib "ansi_tfl_table_tmw.mat" + TargetLibSuffix "" + TargetPreCompLibLocation "" + GenFloatMathFcnCalls "NOT IN USE" + TargetLangStandard "C89/C90 (ANSI)" + CodeReplacementLibrary "None" + UtilityFuncGeneration "Auto" + ERTMultiwordTypeDef "System defined" + ERTMultiwordLength 256 + MultiwordLength 2048 + GenerateFullHeader on + InferredTypesCompatibility off + GenerateSampleERTMain off + GenerateTestInterfaces off + ModelReferenceCompliant on + ParMdlRefBuildCompliant on + CompOptLevelCompliant on + ConcurrentExecutionCompliant on + IncludeMdlTerminateFcn on + GeneratePreprocessorConditionals "Disable all" + CombineOutputUpdateFcns on + CombineSignalStateStructs off + SuppressErrorStatus off + ERTFirstTimeCompliant off + IncludeFileDelimiter "Auto" + ERTCustomFileBanners off + SupportAbsoluteTime on + LogVarNameModifier "rt_" + MatFileLogging on + MultiInstanceERTCode off + CodeInterfacePackaging "Nonreusable function" + SupportNonFinite on + SupportComplex on + PurelyIntegerCode off + SupportContinuousTime on + SupportNonInlinedSFcns on + SupportVariableSizeSignals off + ParenthesesLevel "Nominal" + CastingMode "Nominal" + MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" + ModelStepFunctionPrototypeControlCompliant off + CPPClassGenCompliant on + AutosarCompliant off + GRTInterface off + GenerateAllocFcn off + GenerateSharedConstants on + UseMalloc off + ExtMode off + ExtModeStaticAlloc off + ExtModeTesting off + ExtModeStaticAllocSize 1000000 + ExtModeTransport 0 + ExtModeMexFile "ext_comm" + ExtModeIntrfLevel "Level1" + RTWCAPISignals off + RTWCAPIParams off + RTWCAPIStates off + RTWCAPIRootIO off + GenerateASAP2 off + MultiInstanceErrorCode "Error" + } + PropName "Components" + } + } + hdlcoderui.hdlcc { + $ObjectID 18 + Version "1.15.0" + Description "HDL Coder custom configuration component" + Name "HDL Coder" + Array { + Type "Cell" + Dimension 1 + Cell " " + PropName "HDLConfigFile" + } + HDLCActiveTab "0" + } + PropName "Components" + } + Name "Configuration" + CurrentDlgPage "Solver" + ConfigPrmDlgPosition [ 300, 205, 1380, 845 ] + } + PropName "ConfigurationSets" + } + Simulink.ConfigSet { + $PropName "ActiveConfigurationSet" + $ObjectID 7 + } + Object { + $PropName "DataTransfer" + $ObjectID 19 + $ClassName "Simulink.GlobalDataTransfer" + DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" + DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" + DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" + DefaultExtrapolationMethodBetweenContTasks "None" + AutoInsertRateTranBlk [0] + } + ExplicitPartitioning off + BlockDefaults { + ForegroundColor "black" + BackgroundColor "white" + DropShadow off + NamePlacement "normal" + FontName "Helvetica" + FontSize 10 + FontWeight "normal" + FontAngle "normal" + ShowName on + BlockRotation 0 + BlockMirror off + } + AnnotationDefaults { + HorizontalAlignment "center" + VerticalAlignment "middle" + ForegroundColor "black" + BackgroundColor "white" + DropShadow off + FontName "Helvetica" + FontSize 10 + FontWeight "normal" + FontAngle "normal" + UseDisplayTextAsClickCallback off + } + LineDefaults { + FontName "Helvetica" + FontSize 9 + FontWeight "normal" + FontAngle "normal" + } + MaskDefaults { + SelfModifiable "off" + IconFrame "on" + IconOpaque "on" + RunInitForIconRedraw "off" + IconRotate "none" + PortRotate "default" + IconUnits "autoscale" + } + MaskParameterDefaults { + Evaluate "on" + Tunable "on" + NeverSave "off" + Internal "off" + ReadOnly "off" + Enabled "on" + Visible "on" + ToolTip "on" + } + BlockParameterDefaults { + Block { + BlockType DiscretePulseGenerator + PulseType "Sample based" + TimeSource "Use simulation time" + Amplitude "1" + Period "2" + PulseWidth "1" + PhaseDelay "0" + SampleTime "1" + VectorParams1D on + } + Block { + BlockType Scope + ModelBased off + TickLabels "OneTimeTick" + ZoomMode "on" + Grid "on" + ShowLegends off + TimeRange "auto" + YMin "-5" + YMax "5" + SaveToWorkspace off + SaveName "ScopeData" + DataFormat "Array" + LimitDataPoints on + MaxDataPoints "5000" + Decimation "1" + SampleInput off + SampleTime "-1" + ScrollMode off + } + Block { + BlockType Sum + IconShape "rectangular" + Inputs "++" + CollapseMode "All dimensions" + CollapseDim "1" + InputSameDT on + AccumDataTypeStr "Inherit: Inherit via internal rule" + OutMin "[]" + OutMax "[]" + OutDataTypeStr "Inherit: Same as first input" + LockScale off + RndMeth "Floor" + SaturateOnIntegerOverflow on + SampleTime "-1" + } + } + System { + Name "TestModel" + Location [0, 26, 1215, 821] + Open on + ModelBrowserVisibility off + ModelBrowserWidth 200 + ScreenColor "white" + PaperOrientation "landscape" + PaperPositionMode "auto" + PaperType "usletter" + PaperUnits "inches" + TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] + TiledPageScale 1 + ShowPageBoundaries off + ZoomFactor "100" + ReportName "simulink-default.rpt" + SIDHighWatermark "19" + Block { + BlockType Reference + Name " System Generator" + SID "6" + Tag "genX" + Ports [] + Position [317, 27, 367, 77] + ZOrder 139 + ShowName off + AttributesFormatString "System\\nGenerator" + LibraryVersion "1.2" + UserDataPersistent on + UserData "DataTag0" + SourceBlock "xbsIndex_r4/ System Generator" + SourceType "Xilinx System Generator Block" + ContentPreviewEnabled off + infoedit " System Generator" + xilinxfamily "spartan6" + part "xc6slx25" + speed "-3" + package "ftg256" + synthesis_tool "XST" + clock_wrapper "Clock Enables" + directory "./netlist" + proj_type "Project Navigator" + Synth_file "XST Defaults" + Impl_file "ISE Defaults" + testbench off + simulink_period "1" + sysclk_period "10" + dcm_input_clock_period "10" + incr_netlist off + trim_vbits "Everywhere in SubSystem" + dbl_ovrd "According to Block Masks" + core_generation "According to Block Masks" + run_coregen off + deprecated_control off + eval_field "0" + has_advanced_control "0" + sggui_pos "271,242,464,470" + block_type "sysgen" + sg_icon_stat "50,50,0,0,token,white,0,58c5b5770fe5f7c311f53dbc6e73f0f6,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]" + ");\npatch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.1" + "55 36.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 3" + "6.655 26.155 ],[0.698039 0.0313725 0.219608 ]);\npatch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.15" + "5 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.15" + "5 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);\nfprintf('','COMMENT: end icon graph" + "ics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Aumento del Risetime" + SID "7" + Ports [1, 1] + Position [355, 167, 420, 223] + ZOrder 143 + LibraryVersion "1.332" + SourceBlock "dsparch4/Analog\nFilter Design" + SourceType "Analog Filter Design" + method "Butterworth" + filttype "Lowpass" + N "1" + Wlo "0.01" + Whi "80" + Rp "2" + Rs "40" + } + Block { + BlockType Reference + Name "Filtro" + SID "5" + Ports [1, 1] + Position [700, 167, 755, 223] + ZOrder 5 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Black Box" + SourceType "Xilinx Black Box Block" + infoedit " Incorporates black box HDL and simulation model into a System Generator design.

You mus" + "t supply a Black Box with certain information about the HDL component you would like to bring into System Genera" + "tor. This information is provided through a Matlab function.

When \"Simulation mode\" is set to \"Inactiv" + "e\", you will typically want to provide a separate simulation model by using a Simulation Multiplexer.
When \"" + "Simulation mode\" is set to \"External co-simulator\", you must include a ModelSim block in the design." + init_code "FilterWrapper_config" + sim_method "ISE Simulator" + verbose off + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "-1,-1,-1,-1" + block_type "blackbox2" + sg_icon_stat "55,56,1,1,white,blue,0,5474a0b4,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 56 56 0 ],[0.77 0." + "82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[" + "35.77 35.77 42.77 35.77 42.77 42.77 42.77 35.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[28.77 2" + "8.77 35.77 35.77 28.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[21.77 21.77 28.77 28" + ".77 21.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[14.77 14.77 21.77 14.77 21.77 21." + "77 14.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" + "xt');\ncolor('black');port_label('input',1,'d_i');\ncolor('black');port_label('output',1,'d_o');\nfprintf('','CO" + "MMENT: end icon text');" + } + Block { + BlockType Reference + Name "Gateway In" + SID "15" + Ports [1, 1] + Position [575, 185, 640, 205] + ZOrder 148 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway In" + SourceType "Xilinx Gateway In Block" + infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed-point to " + " Xilinx fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top lev" + "el input ports." + gui_display_data_type "Boolean" + arith_type "Boolean" + n_bits "16" + bin_pt "14" + preci_type "Single" + exp_width "8" + frac_width "24" + quantization "Round (unbiased: +/- Inf)" + overflow "Saturate" + period "1" + dbl_ovrd off + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsADC off + ADCChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + inherit_from_input off + hdl_port "on" + has_advanced_control "0" + sggui_pos "20,26,404,607" + block_type "gatewayin" + sg_icon_stat "65,20,1,1,white,yellow,1,e514e594,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0." + "93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12." + "22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 1" + "2.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ]," + "[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.97" + "9 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" + "port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('red');port_label('output',1,' (!) ');" + "\nfprintf('','COMMENT: end icon text');" + } + Block { + BlockType Reference + Name "Gaussian Noise\nGenerator" + SID "8" + Ports [0, 1] + Position [345, 268, 425, 312] + ZOrder 142 + LibraryVersion "1.75" + SourceBlock "commnoisgen2/Gaussian Noise\nGenerator" + SourceType "Gaussian Noise Generator" + ContentPreviewEnabled off + m "0" + d "1.5" + s "123" + Ts "0.1" + frameBased off + sampPerFrame "1" + orient off + outDataType "double" + } + Block { + BlockType Scope + Name "Scope" + SID "19" + Ports [3] + Position [990, 73, 1070, 217] + ZOrder 151 + Floating off + Location [122, 140, 1402, 968] + Open on + NumInputPorts "3" + List { + ListType AxesTitles + axes1 "%" + axes2 "%" + axes3 "%" + } + List { + ListType ScopeGraphics + FigureColor "[0.5 0.5 0.5]" + AxesColor "[0 0 0]" + AxesTickColor "[1 1 1]" + LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" + LineStyles "-|-|-|-|-|-" + LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" + MarkerStyles "none|none|none|none|none|none" + } + YMin "-3~-0.1~-0.1" + YMax "4~1.1~1.1" + LimitDataPoints off + } + Block { + BlockType DiscretePulseGenerator + Name "Senal Original\n" + SID "10" + Ports [0, 1] + Position [250, 179, 280, 211] + ZOrder 140 + NamePlacement "alternate" + PulseType "Time based" + Period "1000" + PulseWidth "50" + Port { + PortNumber 1 + Name "Original" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Block { + BlockType Reference + Name "Senial Regenerada\n" + SID "14" + Ports [1, 1] + Position [790, 185, 850, 205] + ZOrder 147 + LibraryVersion "1.2" + SourceBlock "xbsIndex_r4/Gateway Out" + SourceType "Xilinx Gateway Out Block" + infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of ty" + "pe Simulink integer, single, double, or fixed-point.

Hardware notes: In hardware these blocks become top" + " level output ports or are discarded, depending on how they are configured." + inherit_from_input off + hdl_port on + timing_constraint "None" + locs_specified off + LOCs "{}" + UseAsDAC off + DACChannel "'1'" + xl_use_area off + xl_area "[0,0,0,0,0,0,0]" + has_advanced_control "0" + sggui_pos "20,26,388,578" + block_type "gatewayout" + sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" + sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0." + "93 0.65 ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12." + "22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 1" + "2.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ]," + "[1 1 1 ]);\npatch([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.97" + "9 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" + "port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nf" + "printf('','COMMENT: end icon text');" + } + Block { + BlockType Sum + Name "Sum" + SID "13" + Ports [2, 1] + Position [465, 185, 485, 205] + ZOrder 141 + ShowName off + IconShape "round" + Inputs "|++" + InputSameDT off + OutDataTypeStr "Inherit: Inherit via internal rule" + SaturateOnIntegerOverflow off + Port { + PortNumber 1 + Name "Senal con ruido" + RTWStorageClass "Auto" + DataLoggingNameMode "SignalName" + } + } + Line { + ZOrder 4 + SrcBlock "Gaussian Noise\nGenerator" + SrcPort 1 + Points [45, 0] + DstBlock "Sum" + DstPort 2 + } + Line { + Name "Original" + ZOrder 9 + Labels [0, 0] + SrcBlock "Senal Original\n" + SrcPort 1 + Points [30, 0] + Branch { + ZOrder 57 + Points [0, -50] + DstBlock "Scope" + DstPort 2 + } + Branch { + ZOrder 56 + Labels [-1, 0] + DstBlock "Aumento del Risetime" + DstPort 1 + } + } + Line { + ZOrder 12 + SrcBlock "Aumento del Risetime" + SrcPort 1 + DstBlock "Sum" + DstPort 1 + } + Line { + ZOrder 17 + SrcBlock "Filtro" + SrcPort 1 + DstBlock "Senial Regenerada\n" + DstPort 1 + } + Line { + ZOrder 18 + SrcBlock "Gateway In" + SrcPort 1 + Points [23, 0] + Branch { + ZOrder 59 + Points [0, -100] + DstBlock "Scope" + DstPort 1 + } + Branch { + ZOrder 58 + DstBlock "Filtro" + DstPort 1 + } + } + Line { + ZOrder 35 + SrcBlock "Senial Regenerada\n" + SrcPort 1 + DstBlock "Scope" + DstPort 3 + } + Line { + Name "Senal con ruido" + ZOrder 40 + Labels [0, 0] + SrcBlock "Sum" + SrcPort 1 + DstBlock "Gateway In" + DstPort 1 + } + } +} +MatData { + NumRecords 1 + DataRecord { + Tag DataTag0 + Data " %)30 . *\"\\ 8 ( @ % \" $ ! 0 % 0 !@ $ , 0 . . 8 ( ! " + " % \" $ ' 0 0 !P '1A7, !V86QU97, . < 8 ( 0 % \" $ ! 0 " + " . 0 8 ( ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . : 8 " + " ( 0 % \" $ ! 0 . . 8 ( ! % \" $ ' 0 " + " 0 !P '1A7-T96T #@ $@ & \" 0 !0 @ ! & $ " + " $ !@ !!8V-O&EL:6YX9F%M:6QY " + " <&%R= 7!E7W-G861V86YC960 <')O:E]T>7!E 4WEN=&A?9FEL95]S9V%D=F%N8V5D " + " 4WEN=&A?9FEL90 26UP;%]F:6QE7W-G861V86YC960 26UP;%]F:6QE " + " =&5S=&)E;F-H7W-G861V86YC960 =&5S=&)E;F-H 0 . . 8 ( ! % \" $ " + " ' 0 0 !P '1A7, !V86QU97, . < 8 ( 0 % \" $ ! 0 . 0 8 (" + " ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . : 8 ( 0 " + "% \" $ ! 0 . . 8 ( ! % \" $ ' 0 0 !P '1A7-T96T #@ $@ & \" 0 !0 @ ! & $ $ !@ !!8V" + "-O&EL:6YX9F%M:6QY " + "<&%R= 7!E7W-G861V86" + "YC960 <')O:E]T>7!E 4WEN=&A?9FEL95]S9V%D=F%N8V5D 4WEN=&A?9FEL90 " + " 26UP;%]F:6QE7W-G861V86YC960 26UP;%]F:6QE =&5S=&)E;F" + "-H7W-G861V86YC960 =&5S=&)E;F-H